4.8 Article

Enhanced Lithographic Imaging Layer Meets Semiconductor Manufacturing Specification a Decade Early

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Article Computer Science, Hardware & Architecture

Statistical Modeling and Simulation of Threshold Variation Under Random Dopant Fluctuations and Line-Edge Roughness

Yun Ye et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2011)

Article Chemistry, Physical

Enhanced polymeric lithography resists via sequential infiltration synthesis

Yu-Chih Tseng et al.

JOURNAL OF MATERIALS CHEMISTRY (2011)

Article Chemistry, Physical

Enhanced Block Copolymer Lithography Using Sequential Infiltration Synthesis

Yu-Chih Tseng et al.

JOURNAL OF PHYSICAL CHEMISTRY C (2011)

Article Engineering, Electrical & Electronic

Boehmite filled hybrid sol-gel system as directly writable hard etching mask for pattern transfer

Gianluca Grenci et al.

MICROELECTRONIC ENGINEERING (2011)

Article Engineering, Electrical & Electronic

Mobility analysis of surface roughness scattering in FinFET devices

Jae Woo Lee et al.

SOLID-STATE ELECTRONICS (2011)

Review Engineering, Electrical & Electronic

Plasma-polymer interactions: A review of progress in understanding polymer resist mask durability during plasma etching for nanoscale fabrication

Gottlieb S. Oehrlein et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2011)

Article Engineering, Electrical & Electronic

Etch properties of resists modified by sequential infiltration synthesis

Yu-Chih Tseng et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2011)

Article Polymer Science

Optimized Surface Silylation of Chemically Amplified Epoxidized Photoresists for Micromachining Applications

D. Kontziampasis et al.

JOURNAL OF APPLIED POLYMER SCIENCE (2010)

Article Engineering, Electrical & Electronic

VDD scalability of FinFET SRAMs: Robustness of different design options against LER-induced variations

Emanuele Baravelli et al.

SOLID-STATE ELECTRONICS (2010)

Article Engineering, Electrical & Electronic

Spin-coatable HfO2 resist for optical and electron beam lithographies

M. S. M. Saifullah et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2010)

Article Engineering, Electrical & Electronic

Study on line edge roughness for electron beam acceleration voltages from 50 to 5 kV

D. Rio et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2009)

Article Engineering, Electrical & Electronic

Effect of thin-film imaging on line edge roughness transfer to underlayers during etch processes

DL Goldfarb et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2004)

Article Engineering, Electrical & Electronic

25 nm mechanically buttressed high aspect ratio zone plates: Fabrication and performance

DL Olynick et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2004)

Article Electrochemistry

High precision etching of Si/SiO2 on a high-density helicon etcher for nanoscale devices

L Dreeskornfeld et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2003)

Article Engineering, Electrical & Electronic

Line edge roughness of sub-100 nm dense and isolated features: Experimental study

YS Ma et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2003)

Article Engineering, Electrical & Electronic

An experimentally validated analytical model for gate line-edge roughness (LER) effects on technology scaling

CH Díaz et al.

IEEE ELECTRON DEVICE LETTERS (2001)

Article Materials Science, Coatings & Films

Profile evolution during polysilicon gate etching with low-pressure high-density Cl2/HBr/O2 plasma chemistries

M Tuda et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS (2001)

Article Engineering, Electrical & Electronic

High sensitive negative silylation process for 193nm lithography

M Endo et al.

MICROELECTRONIC ENGINEERING (2000)

Article Engineering, Electrical & Electronic

Study of the fundamental contributions to line edge roughness in a 193 nm, top surface imaging system

MH Somervell et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2000)