4.3 Article

Synthesizing Parsimonious Inexact Circuits through Probabilistic Design Techniques

出版社

ASSOC COMPUTING MACHINERY
DOI: 10.1145/2465787.2465795

关键词

Reliability; Algorithms; Inexact circuit design; error-tolerant systems; probabilistic pruning; probabilistic logic minimization; energy-accuracy trade-off; VLSI design; low power/energy

向作者/读者索取更多资源

The domain of inexact circuit design, in which accuracy of the circuit can be exchanged for substantial cost (energy, delay, and/or area) savings, has been gathering increasing prominence of late owing to a growing desire for reducing energy consumption of the systems, particularly in the domain of embedded and (portable) multimedia applications. Most of the previous approaches to realizing inexact circuits relied on scaling of circuit parameters (such as supply voltage) taking advantage of an application's error tolerance to achieve the cost and accuracy trade-offs, thus suffering from acute drawbacks of considerable implementation overheads that significantly reduced the gains. In this article, two novel design approaches called Probabilistic Pruning and Probabilistic Logic Minimization are proposed to realize inexact circuits with zero hardware overhead. Extensive simulations on various architectures of critical datapath elements demonstrate that each of the techniques can independently achieve normalized gains as large as 2x-9.5x in energy-delay-area product for relative error magnitude as low as 10(-4)% - 8% compared to corresponding conventional correct circuits.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.3
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据