4.8 Article

Directed self-assembly of block copolymers for 7 nanometre FinFET technology and beyond

期刊

NATURE ELECTRONICS
卷 1, 期 10, 页码 562-569

出版社

NATURE PUBLISHING GROUP
DOI: 10.1038/s41928-018-0147-4

关键词

-

向作者/读者索取更多资源

The drive to deliver increasingly powerful and feature-rich integrated circuits has made technology node scaling-the process of reducing transistor dimensions and increasing their density in microchips-a key challenge in the microelectronics industry. Historically, advances in optical lithography patterning have played a central role in allowing this trend to continue. Directed self-assembly of block copolymers is a promising alternative patterning technique that offers sub-lithographic resolution and reduced process complexity. However, the feasibility of applying this approach to the fabrication of critical device layers in future technology nodes has never been verified. Here we compare the use of directed self-assembly and conventional patterning methods in the fabrication of 7 nanometre node FinFETs, using an industrially relevant and high-volume manufacturing-compliant test vehicle. Electrical validation shows comparable device performance, suggesting that directed self-assembly could offer a simplified patterning technique for future semiconductor technology.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.8
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据