3.8 Proceedings Paper

New Resist and Underlayer Approaches toward EUV Lithography

出版社

SPIE-INT SOC OPTICAL ENGINEERING
DOI: 10.1117/12.2503107

关键词

structure-property correlation; silicon compounds; EUV lithography; E-beam lithography; RLS trade-off; Non-CAR

资金

  1. EU-H2020 research and innovation programme [654360]

向作者/读者索取更多资源

Extreme ultraviolet lithography (EUVL, lambda = 13.5 nm) is the most promising candidate to pattern the finest features in the next-generation integrated circuit manufacturing. Chemically-amplified resists (CARs) have long been used as state-of-the art photoresists and have been considered as EUV resist. Recently, inorganic and metal-containing resist materials have received significant attention in both academia and industry areas, with the aim to improve the resist performance in terms of resist resolution (R), line-edge roughness (LER), and sensitivity (S) to solve the well-known RLS trade-off. However, the resists reported to date usually have either problem in terms of RLS trade-off or pose metal contamination, which is a serious issue in expensive EUV equipment. Differently, in this report, we demonstrate our recent success in the development of the photochemistry of silicon compounds and resist formulations to obtain novel EUV negative tone resists with high resolution (up to 22nm pitch line/space patterns), low line-edge roughness (1-3nm) with reasonable EUV sensitivity. We also discuss their high etch selectivity to a PiBond's SOC organic underlayer, which enable a bilayer lithography stack for EUVL patterning. Their excellent etch performances by RIE plasma is also reported.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

3.8
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据