3.8 Proceedings Paper

Machine Learning for Design Space Exploration and Optimization of Manycore Systems

出版社

ASSOC COMPUTING MACHINERY
DOI: 10.1145/3240765.3243483

关键词

Manycore Systems; Machine Learning; Design Space Exploration; On-Chip Resource Management; Application -Specific Designs

资金

  1. US National Science Foundation (NSF) [CNS-1564014, CCF 1514269]
  2. US Army Research Office [W911NF1710485]
  3. U.S. Department of Defense (DOD) [W911NF1710485] Funding Source: U.S. Department of Defense (DOD)

向作者/读者索取更多资源

In the emerging data-driven science paradigm, computing systems ranging from IoT and mobile to manycores and datacenters play distinct roles. These systems need to be optimized for the objectives and constraints dictated by the needs of the application. In this paper, we describe how machine learning techniques can be leveraged to improve the computational efficiency of hardware design optimization. This includes generic methodologies that are applicable for any hardware design space. As an example, we discuss a guided design space exploration framework to accelerate application-specific manycore systems design and advanced imitation learning techniques to improve onchip resource management. We present some experimental results for application-specific manycore system design optimization and dynamic power management to demonstrate the efficacy of these methods over traditional EDA approaches.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

3.8
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据