3.8 Proceedings Paper

Actinic patterned mask defect inspection for EUV lithography

期刊

PHOTOMASK TECHNOLOGY 2019
卷 11148, 期 -, 页码 -

出版社

SPIE-INT SOC OPTICAL ENGINEERING
DOI: 10.1117/12.2538001

关键词

EUV; actinic; patterned mask; reticle; phase defect; inspection; sensitivity; pellicle

类别

向作者/读者索取更多资源

As extreme ultraviolet (EUV) lithography enters high volume manufacturing, the semiconductor industry has considered a lithography-wavelength- matched actinic patterned mask inspection (APMI) tool to be a major remaining EUV mask infrastructure gap. Now, an actinic patterned mask inspection system has been developed to fill this gap. Combining experience gained from developing and commercializing the 13.5nm wavelength actinic blank inspection (ABI) system with decades of deep ultraviolet (DUV) patterned mask defect inspection system manufacturing, we have introduced the world's first high-sensitivity actinic patterned mask inspection and review system, the ACTIS A150 (ACTinic Inspection System). Producing this APMI system required developing and implementing new technologies including a high-intensity EUV source and high-numerical aperture EUV optics. The APMI system achieves extremely high sensitivity to defects because of its high-resolution, low noise imaging. It has demonstrated a capability to detect mask defects having an estimated lithographic impact of 10% CD deviation on the printed wafer.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

3.8
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据