4.5 Article

RxNN: A Framework for Evaluating Deep Neural Networks on Resistive Crossbars

出版社

IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
DOI: 10.1109/TCAD.2020.3000185

关键词

Computational modeling; Integrated circuit modeling; Hardware; Virtual machine monitors; Biological neural networks; Resistance; Sensors; Analog computing; artificial intelligence; crossbar modeling; crossbar nonidealities; deep neural networks (DNNs); in-memory computing; machine learning; nonvolatile memory; resistive crossbar; vector-matrix multiplication (VMM)

资金

  1. Center for Brain-Inspired Computing Enabling Autonomous Intelligence (CBRIC) - DARPA

向作者/读者索取更多资源

Resistive crossbars are a promising component for DNN hardware, but suffer from various nonidealities that can lead to accuracy degradation. It is crucial to study the impact of these nonidealities on large-scale DNNs, although existing models are too slow for application-level evaluations. RxNN is introduced as a fast and accurate simulation framework to evaluate large-scale DNNs on resistive crossbar systems, showing significant accuracy degradations (9.6%-32%) and enabling model-in-the-loop retraining to mitigate the degradation.
Resistive crossbars designed with nonvolatile memory devices have emerged as promising building blocks for deep neural network (DNN) hardware, due to their ability to compactly and efficiently realize vector-matrix multiplication (VMM), the dominant computational kernel in DNNs. However, a key challenge with resistive crossbars is that they suffer from a range of device and circuit level nonidealities, such as driver resistance, sensing resistance, sneak paths, interconnect parasitics, nonlinearities in the peripheral circuits, stochastic write operations, and process variations. These nonidealities can lead to errors in VMMs, eventually degrading the DNN's accuracy. It is therefore critical to study the impact of crossbar nonidealities on the accuracy of large-scale DNNs (with millions of neurons and billions of synaptic connections). However, this is challenging because the existing device and circuit models are too slow to use in application-level evaluations. We present RxNN, a fast and accurate simulation framework to evaluate large-scale DNNs on resistive crossbar systems. RxNN splits and maps the computations involved in each DNN layer into crossbar operations, and evaluates them using a fast crossbar model (FCM) that accurately captures the errors arising due to crossbar nonidealities while being four-to-five orders of magnitude faster than circuit simulation. FCM models a crossbar-based VMM operation using three stages-nonlinear models for the input and output peripheral circuits (digital-to-analog and analog-to-digital converters), and an equivalent nonideal conductance matrix for the core crossbar array. We implement RxNN by extending the Caffe machine learning framework and use it to evaluate a suite of six large-scale DNNs developed for the ImageNet Challenge (ILSVRC). Our experiments reveal that resistive crossbar nonidealities can lead to significant accuracy degradations (9.6%-32%) for these large-scale DNNs. To the best of our knowledge, this article is the first quantitative evaluation of the accuracy of large-scale DNNs on resistive crossbar-based hardware. We also demonstrate that RxNN enables fast model-in-the-loop retraining of DNNs to partially mitigate the accuracy degradation.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.5
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据