4.6 Article

10T SRAM Computing-in-Memory Macros for Binary and Multibit MAC Operation of DNN Edge Processors

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Article Engineering, Electrical & Electronic

A 7-nm Compute-in-Memory SRAM Macro Supporting Multi-Bit Input, Weight and Output and Achieving 351 TOPS/W and 372.4 GOPS

Mahmut E. Sinangil et al.

Summary: This work introduces a compute-in-memory macro based on a standard two-port compiler macro and foundry 8T bit-cell, capable of supporting 1024 4x4-bit multiply-and-accumulate computations simultaneously. The 4-bit input and weight are represented using charge sharing and binary-weighted computation caps, utilizing the inherent cap of the sense amplifier inside the 4-bit Flash ADC. The proposed design achieves energy efficiency of 351 TOPS/W and throughput of 372.4 GOPS, with a 5.5 ns access time at 0.8V power supply.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2021)

Article Engineering, Electrical & Electronic

A 28-nm Compute SRAM With Bit-Serial Logic/Arithmetic Operations for Programmable In-Memory Vector Computing

Jingcheng Wang et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2020)

Article Engineering, Electrical & Electronic

A Twin-8T SRAM Computation-in-Memory Unit-Macro for Multibit CNN-Based AI Edge Processors

Xin Si et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2020)

Article Engineering, Electrical & Electronic

C3SRAM: An In-Memory-Computing SRAM Macro Based on Robust Capacitive Coupling Computing Mechanism

Zhewei Jiang et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2020)

Article Engineering, Electrical & Electronic

A 4-Kb 1-to-8-bit Configurable 6T SRAM-Based Computation-in-Memory Unit-Macro for CNN-Based AI Edge Processors

Yen-Cheng Chiu et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2020)

Article Engineering, Electrical & Electronic

XNOR-SRAM: In-Memory Computing SRAM Macro for Binary/Ternary Deep Neural Networks

Shihui Yin et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2020)

Article Computer Science, Information Systems

A Power-Efficient Optimizing Framework FPGA Accelerator Based on Winograd for YOLO

Chun Bao et al.

IEEE ACCESS (2020)

Article Computer Science, Information Systems

Deep Physical Informed Neural Networks for Metamaterial Design

Zhiwei Fang et al.

IEEE ACCESS (2020)

Article Computer Science, Information Systems

CNN Acceleration With Hardware-Efficient Dataflow for Super-Resolution

Sumin Lee et al.

IEEE ACCESS (2020)

Article Engineering, Electrical & Electronic

CONV-SRAM: An Energy-Efficient SRAM With In-Memory Dot-Product Computation for Low-Power Convolutional Neural Networks

Avishek Biswas et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2019)

Article Engineering, Electrical & Electronic

Xcel-RAM: Accelerating Binary Neural Networks in High-Throughput SRAM Compute Arrays

Amogh Agrawal et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2019)

Article Engineering, Electrical & Electronic

A 28-nm 320-Kb TCAM Macro Using Split-Controlled Single-Load 14T Cell and Triple-Margin Voltage Sense Amplifier

Cheng-Xin Xue et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2019)

Article Engineering, Electrical & Electronic

A Dual-Split 6T SRAM-Based Computing-in-Memory Unit-Macro With Fully Parallel Product-Sum Operation for Binarized DNN Edge Processors

Xin Si et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2019)

Review Computer Science, Information Systems

Speech Recognition Using Deep Neural Networks: A Systematic Review

Ali Bou Nassif et al.

IEEE ACCESS (2019)

Proceedings Paper Engineering, Electrical & Electronic

A 5.1pJ/Neuron 127.3us/Inference RNN-based Speech Recognition Processor using 16 Computing-in-Memory SRAM Macros in 65nm CMOS

Ruiqi Guo et al.

2019 SYMPOSIUM ON VLSI CIRCUITS (2019)

Article Computer Science, Information Systems

A Novel Software-Defined Convolutional Neural Networks Accelerator

Yufeng Li et al.

IEEE ACCESS (2019)

Article Computer Science, Information Systems

Face Detection Method Based on Cascaded Convolutional Networks

Rong Qi et al.

IEEE ACCESS (2019)

Article Computer Science, Information Systems

MulNet: A Flexible CNN Processor With Higher Resource Utilization Efficiency for Constrained Devices

Muluken Tadesse Hailesellasie et al.

IEEE ACCESS (2019)

Article Engineering, Electrical & Electronic

A Multi-Functional In-Memory Inference Processor Using a Standard 6T SRAM Array

Mingu Kang et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2018)

Article Computer Science, Artificial Intelligence

Applications of Deep Learning and Reinforcement Learning to Biological Data

Mufti Mahmud et al.

IEEE TRANSACTIONS ON NEURAL NETWORKS AND LEARNING SYSTEMS (2018)

Article Nanoscience & Nanotechnology

Training Deep Neural Networks for the Inverse Design of Nanophotonic Structures

Dianjing Liu et al.

ACS PHOTONICS (2018)

Article Engineering, Electrical & Electronic

In-Memory Computation of a Machine-Learning Classifier in a Standard 6T SRAM Array

Jintao Zhang et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2017)

Article Engineering, Electrical & Electronic

An Energy-Efficient Precision-Scalable ConvNet Processor in 40-nm CMOS

Bert Moons et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2017)

Article Engineering, Electrical & Electronic

Eyeriss: An Energy-Efficient Reconfigurable Accelerator for Deep Convolutional Neural Networks

Yu-Hsin Chen et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2017)

Article Engineering, Electrical & Electronic

Deep Neural Networks for Acoustic Modeling in Speech Recognition

Geoffrey Hinton et al.

IEEE SIGNAL PROCESSING MAGAZINE (2012)

Article Engineering, Electrical & Electronic

A 3-GHz 70-Mb SRAM in 65-nm CMOS technology with integrated column-based dynamic power supply

K Zhang et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2006)