3.8 Proceedings Paper

StencilFlow: Mapping Large Stencil Programs to Distributed Spatial Computing Systems

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Article Computer Science, Theory & Methods

Transformations of High-Level Synthesis Codes for High-Performance Computing

Johannes de Fine Licht et al.

Summary: This study explores optimizing transformations for high-performance computing applications on spatial computing architectures, accelerating programmer productivity on these platforms and enhancing performance potential.

IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS (2021)

Proceedings Paper Computer Science, Theory & Methods

HeteroHalide: From Image Processing DSL to Efficient FPGA Acceleration

Jiajie Li et al.

2020 ACM/SIGDA INTERNATIONAL SYMPOSIUM ON FIELD-PROGRAMMABLE GATE ARRAYS (FPGA '20) (2020)

Proceedings Paper Computer Science, Hardware & Architecture

NERO: A Near High-Bandwidth Memory Stencil Accelerator forWeather Prediction Modeling

Gagandeep Singh et al.

2020 30TH INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE LOGIC AND APPLICATIONS (FPL) (2020)

Proceedings Paper Computer Science, Software Engineering

AN5D: Automated Stencil Framework for High-Degree Temporal Blocking on GPUs

Kazuaki Matsumura et al.

CGO'20: PROCEEDINGS OF THE18TH ACM/IEEE INTERNATIONAL SYMPOSIUM ON CODE GENERATION AND OPTIMIZATION (2020)

Proceedings Paper Computer Science, Hardware & Architecture

Absinthe: Learning an Analytical Performance Model to Fuse and Tile Stencil Codes in One Shot

Tobias Gysi et al.

2019 28TH INTERNATIONAL CONFERENCE ON PARALLEL ARCHITECTURES AND COMPILATION TECHNIQUES (PACT 2019) (2019)

Proceedings Paper Computer Science, Theory & Methods

Stateful Dataflow Multigraphs: A Data-Centric Model for Performance Portability on Heterogeneous Architectures

Tal Ben-Nun et al.

PROCEEDINGS OF SC19: THE INTERNATIONAL CONFERENCE FOR HIGH PERFORMANCE COMPUTING, NETWORKING, STORAGE AND ANALYSIS (2019)

Proceedings Paper Computer Science, Theory & Methods

Streaming Message Interface: High-Performance Distributed Memory Programming on Reconfigurable Hardware

Tiziano De Matteis et al.

PROCEEDINGS OF SC19: THE INTERNATIONAL CONFERENCE FOR HIGH PERFORMANCE COMPUTING, NETWORKING, STORAGE AND ANALYSIS (2019)

Proceedings Paper Computer Science, Theory & Methods

HeteroCL: A Multi-Paradigm Programming Infrastructure for Software-Defined Reconfigurable Computing

Yi-Hsiang Lai et al.

PROCEEDINGS OF THE 2019 ACM/SIGDA INTERNATIONAL SYMPOSIUM ON FIELD-PROGRAMMABLE GATE ARRAYS (FPGA'19) (2019)

Proceedings Paper Computer Science, Theory & Methods

Xilinx Adaptive Compute Acceleration Platform: Versal™ Architecture

Brian Gaide et al.

PROCEEDINGS OF THE 2019 ACM/SIGDA INTERNATIONAL SYMPOSIUM ON FIELD-PROGRAMMABLE GATE ARRAYS (FPGA'19) (2019)

Proceedings Paper Computer Science, Hardware & Architecture

NARMADA: Near-memory horizontal diffusion accelerator for scalable stencil computations

Gagandeep Singh et al.

2019 29TH INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE LOGIC AND APPLICATIONS (FPL) (2019)

Article Computer Science, Information Systems

Multi-FPGA Accelerator Architecture for Stencil Computation Exploiting Spacial and Temporal Scalability

Hasitha Muthumala Waidyasooriya et al.

IEEE ACCESS (2019)

Proceedings Paper Computer Science, Theory & Methods

SODA: Stencil with Optimized Dataflow Architecture

Yuze Chi et al.

2018 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD) DIGEST OF TECHNICAL PAPERS (2018)

Proceedings Paper Computer Science, Software Engineering

High Performance Stencil Code Generation with LIFT

Bastian Hagedorn et al.

PROCEEDINGS OF THE 2018 INTERNATIONAL SYMPOSIUM ON CODE GENERATION AND OPTIMIZATION (CGO'18) (2018)

Proceedings Paper Engineering, Electrical & Electronic

A Comprehensive Framework for Synthesizing Stencil Algorithms on FPGAs using OpenCL Model

Shuo Wang et al.

PROCEEDINGS OF THE 2017 54TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC) (2017)

Article Computer Science, Hardware & Architecture

Automating Elimination of Idle Functions by Runtime Reconfiguration

Xinyu Niu et al.

ACM TRANSACTIONS ON RECONFIGURABLE TECHNOLOGY AND SYSTEMS (2015)

Proceedings Paper Computer Science, Theory & Methods

STELLA: A Domain-specific Tool for Structured Grid Methods in Weather and Climate Models

Tobias Gysi et al.

PROCEEDINGS OF SC15: THE INTERNATIONAL CONFERENCE FOR HIGH PERFORMANCE COMPUTING, NETWORKING, STORAGE AND ANALYSIS (2015)

Proceedings Paper Computer Science, Hardware & Architecture

MODESTO: Data-centric Analytic Optimization of Complex Stencil Programs on Heterogeneous Architectures

Tobias Gysi et al.

PROCEEDINGS OF THE 29TH ACM INTERNATIONAL CONFERENCE ON SUPERCOMPUTING (ICS'15) (2015)

Article Computer Science, Software Engineering

Darkroom: Compiling High-Level Image Processing Code into Hardware Pipelines

James Hegarty et al.

ACM TRANSACTIONS ON GRAPHICS (2014)

Article Computer Science, Theory & Methods

Multi-FPGA Accelerator for Scalable Stencil Computation with Constant Memory Bandwidth

Kentaro Sano et al.

IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS (2014)

Article Meteorology & Atmospheric Sciences

A Case Study in Modeling Low-Lying Inversions and Stratocumulus Cloud Cover in the Bay of Biscay

Anna Possner et al.

WEATHER AND FORECASTING (2014)

Article Meteorology & Atmospheric Sciences

Long-Term Simulations of Thermally Driven Flows and Orographic Convection at Convection-Parameterizing and Cloud-Resolving Resolutions

Wolfgang Langhans et al.

JOURNAL OF APPLIED METEOROLOGY AND CLIMATOLOGY (2013)

Article Meteorology & Atmospheric Sciences

Operational Convective-Scale Numerical Weather Prediction with the COSMO Model: Description and Sensitivities

Michael Baldauf et al.

MONTHLY WEATHER REVIEW (2011)

Article Computer Science, Hardware & Architecture

Roofline: An Insightful Visual Performance Model for Multicore Architectures

Samuel Williams et al.

COMMUNICATIONS OF THE ACM (2009)