4.5 Article

Neural Network-Based Performance Prediction for Task Migration on S-NUCA Many-Cores

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Article Computer Science, Hardware & Architecture

Machine Learning for Power, Energy, and Thermal Management on Multicore Processors: A Survey

Santiago Pagani et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2020)

Article Computer Science, Hardware & Architecture

HotSniper: Sniper-Based Toolchain for Many-Core Thermal Simulations in Open Systems

Anuj Pathania et al.

IEEE EMBEDDED SYSTEMS LETTERS (2019)

Article Computer Science, Hardware & Architecture

Power- and Cache-Aware Task Mapping with Dynamic Power Budgeting for Many-Cores

Martin Rapp et al.

IEEE TRANSACTIONS ON COMPUTERS (2019)

Article Computer Science, Hardware & Architecture

Algorithmic Optimization of Thermal and Power Management for Heterogeneous Mobile Platforms

Ganapati Bhat et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2018)

Article Computer Science, Hardware & Architecture

Thermal Safe Power (TSP): Efficient Power Budgeting for Heterogeneous Manycore Systems in Dark Silicon

Santiago Pagani et al.

IEEE TRANSACTIONS ON COMPUTERS (2017)

Proceedings Paper Computer Science, Software Engineering

Exploring Machine Learning for Thread Characterization on Heterogeneous Multiprocessors

Cha V. Li et al.

OPERATING SYSTEMS REVIEW (2017)

Article Engineering, Electrical & Electronic

Learning-Based Run-Time Power and Energy Management of Multi/Many-Core Systems: Current and Future Trends

Amit Kumar Singh et al.

JOURNAL OF LOW POWER ELECTRONICS (2017)

Article Computer Science, Theory & Methods

A Survey and Comparative Study of Hard and Soft Real-Time Dynamic Resource Allocation Strategies for Multi-/Many-Core Systems

Amit Kumar Singh et al.

ACM COMPUTING SURVEYS (2017)

Article Computer Science, Artificial Intelligence

Empirical decision model learning

Michele Lombardi et al.

ARTIFICIAL INTELLIGENCE (2017)

Article Computer Science, Hardware & Architecture

Defragmentation for Efficient Runtime Resource Management in NoC-Based Many-Core Systems

Jim Ng et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2016)

Article Computer Science, Hardware & Architecture

Task Migrations for Distributed Thermal Management Considering Transient Effects

Zao Liu et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2015)

Proceedings Paper Engineering, Electrical & Electronic

New Trends in Dark Silicon

Joerg Henkel et al.

2015 52ND ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC) (2015)

Article Computer Science, Hardware & Architecture

Energy-Efficient Operation of Multicore Processors by DVFS, Task Migration, and Active Cooling

Vinay Hanumaiah et al.

IEEE TRANSACTIONS ON COMPUTERS (2014)

Article Computer Science, Hardware & Architecture

The McPAT Framework for Multicore and Manycore Architectures: Simultaneously Modeling Power, Area, and Timing

Sheng Li et al.

ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION (2013)

Article Computer Science, Hardware & Architecture

A Multi-Agent Framework for Thermal Aware Task Migration in Many-Core Systems

Yang Ge et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2012)

Article Computer Science, Hardware & Architecture

Utilizing Predictors for Efficient Thermal Management in Multiprocessor SoCs

Ayse Kivilcim Coskun et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2009)

Article Computer Science, Hardware & Architecture

IPC considered harmful for multiprocessor workloads

Alaa R. Allameldeen et al.

IEEE MICRO (2006)

Article Computer Science, Hardware & Architecture

HotSpot: A compact thermal modeling methodology for early-stage VLSI design

Wei Huang et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2006)

Article Computer Science, Software Engineering

Pin: Building customized program analysis tools with dynamic instrumentation

CK Luk et al.

ACM SIGPLAN NOTICES (2005)