4.4 Article

A Low-Cost Passivation for Low Temperature Cu-Cu Bonding Using PVD-Deposited Cu3N

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Article Engineering, Electrical & Electronic

TSV Integration With Chip Level TSV-to-Pad Cu/SiO2 Hybrid Bonding for DRAM Multiple Layer Stacking

Tzu-Heng Hung et al.

Summary: A 55 μm depth TSV-to-pad Cu/SiO2 hybrid bonding for integrating Si interposer and DRAM has been demonstrated. The optimization of surface pretreatment is crucial for achieving high-quality bonding of Cu and SiO2. The issue of TSV protrusion, which may result in failure of multiple layer stacking, has been effectively resolved through Cu grain stabilization and pretreatment adjustment. Electrical measurements indicate low and stable TSV resistance. Therefore, the TSV-to-pad hybrid bonding without micro-bumps shows promise for scaling and stacking in HBM or chiplet integration scenarios.

IEEE ELECTRON DEVICE LETTERS (2023)

Article Chemistry, Physical

Investigation of bonding mechanism for low-temperature Cu-Cu bonding with passivation layer

Zhong-Jie Hong et al.

Summary: This research investigated the bonding mechanism of low-temperature Cu-Cu thermal compression bonding (TCB) with passivation layer and found that grain boundary diffusion of Cu leads to the formation of amorphous Cu at the bonding interface in the passivated-Cu structure. Through the diffusion of Cu atoms and recrystallization of amorphous Cu, voids at the bonding interface can be eliminated, resulting in high quality bonding results with low thermal budget.

APPLIED SURFACE SCIENCE (2022)

Proceedings Paper Engineering, Electrical & Electronic

Advanced Substrate Packaging Technologies for Enabling Heterogeneous Integration (HI) Applications

G. Duan et al.

Summary: This paper discusses the impact of increasing demand in high performance computing on the microelectronics industry and the crucial role of performance enabler technologies. It also presents recent progress in specific technologies and discusses key considerations.

2022 INTERNATIONAL ELECTRON DEVICES MEETING, IEDM (2022)

Proceedings Paper Engineering, Electrical & Electronic

High Performance and Energy Efficient Computing with Advanced SoIC™ Scaling

S. W. Liang et al.

Summary: High-performance computing system integration is experiencing significant growth due to increasing demands in 5G and AI applications. Advanced AI computing systems with high energy efficiency and wide interconnect bandwidth are desirable. SoIC technology enables high-density, high-bandwidth, and high-efficiency interconnects. Factors such as chip size, chip thickness, and process thermal budget affect the quality of SoIC chip-on-wafer bonding. Understanding advanced node wafer, process tools, and materials is crucial for achieving high-yield and reliable 3D ultra-fine pitch SoIC bonding.

IEEE 72ND ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC 2022) (2022)

Article Engineering, Electrical & Electronic

Search for copper diffusion at hybrid bonding interface through chemical and electrical characterizations

Joris Jourdon et al.

Summary: The study investigated Cu/SiO2 diffusion at the interface without diffusion barrier to validate the electrical insulation of interconnects. Analysis showed that interconnects remained electrically insulated despite thermal budgets involved in the bonding process, with breakdown strength of SiO2 extracted to be 3.4 MV.cm(-1) through breakdown voltages and misalignments analysis. The efficiency of Cu ions drift was confirmed by I-V measurements and physical failure analysis.

MICROELECTRONICS RELIABILITY (2021)

Article Engineering, Manufacturing

Low-Temperature (260 °C) Solderless Cu-Cu Bonding for Fine-Pitch 3-D Packaging and Heterogeneous Integration

Haesung Park et al.

Summary: In this study, low-temperature solderless Cu-Cu bonding was achieved by preparing an oxidation-free Cu surface using an optimized N-2 plasma process. The bonded interface quality was evaluated, with a maximum shear strength of 62.6 MPa obtained, demonstrating significantly improved Cu-Cu bonding quality compared to previous studies.

IEEE TRANSACTIONS ON COMPONENTS PACKAGING AND MANUFACTURING TECHNOLOGY (2021)

Proceedings Paper Engineering, Manufacturing

Sputtered Coppe Nitride-Coppe Nitride Direct Bonding

Liangxing Hu et al.

Summary: Copper nitride thin layer is sputtered onto silicon wafers and annealed to form copper. The physical and chemical stability of the sputtered copper nitride is studied after exposure to cleanroom environment. Direct bonding of copper nitride samples is performed at room temperature and evaluated for shear strength after annealing.

2021 7TH INTERNATIONAL WORKSHOP ON LOW TEMPERATURE BONDING FOR 3D INTEGRATION (LTB-3D) (2021)

Proceedings Paper Engineering, Electrical & Electronic

Plasma Activated Low-temperature Die-level Direct Bonding with Advanced Wafer Dicing Technologies for 3D Heterogeneous Integration

Katsuyuki Sakuma et al.

Summary: In this study, a plasma activated low-temperature die-level oxide-oxide direct bonding method was demonstrated, along with advanced wafer dicing technologies. Various dicing methods were evaluated, and stealth laser dicing was found to cause the least amount of damage among all tested methods. Diamond blade dicing, although compatible with many materials, resulted in large chipping on the die edges.

IEEE 71ST ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC 2021) (2021)

Proceedings Paper Engineering, Electrical & Electronic

Low temperature Direct Bonding of SiN and SiO interfaces for packaging applications

Xavier F. Brun et al.

2020 IEEE 70TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC 2020) (2020)

Article Engineering, Manufacturing

Comprehensive Analysis of a Cu Nitride Passivated Surface That Enhances Cu-to-Cu Bonding

Hankyeol Seo et al.

IEEE TRANSACTIONS ON COMPONENTS PACKAGING AND MANUFACTURING TECHNOLOGY (2020)

Proceedings Paper Engineering, Electrical & Electronic

System on Integrated Chips (SoICTM) for 3D Heterogeneous Integration

F. C. Chen et al.

2019 IEEE 69TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) (2019)

Review Materials Science, Multidisciplinary

Preparation, structure, properties, and application of copper nitride (Cu3N) thin films: A review

Aihua Jiang et al.

JOURNAL OF MATERIALS SCIENCE & TECHNOLOGY (2018)

Article Engineering, Electrical & Electronic

Characterization of self-assembled monolayers for Cu-Cu bonding technology

M. Lykova et al.

MICROELECTRONIC ENGINEERING (2018)

Proceedings Paper Engineering, Electrical & Electronic

Embedded Multi-Die Interconnect Bridge (EMIB) - A High Density, High Bandwidth Packaging Interconnect

Ravi Mahajan et al.

2016 IEEE 66TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC) (2016)

Article Multidisciplinary Sciences

Low-temperature direct copper-to-copper bonding enabled by creep on (111) surfaces of nanotwinned Cu

Chien-Min Liu et al.

SCIENTIFIC REPORTS (2015)

Article Physics, Applied

Thermal stability of copper nitride thin films: The role of nitrogen migration

R. Gonzalez-Arrabal et al.

JOURNAL OF APPLIED PHYSICS (2010)

Article Engineering, Electrical & Electronic

Si-H bond breaking induced retention degradation during packaging process of 256 Mbit.DRAMs with negative wordline bias

MC Chang et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2005)