4.4 Article

High-Performance STT-MRAM-Based Computing-in-Memory Scheme Utilizing Data Read Feature

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Article Engineering, Electrical & Electronic

XNOR-Bitcount Operation Exploiting Computing-In-Memory With STT-MRAMs

Ariana Musello et al.

Summary: This brief presents a energy-efficient and high-performance XNOR-bitcount architecture using computing-in-memory (CiM) and spin-transfer torque magnetic RAM (STT-MRAM) based on double-barrier magnetic tunnel junctions (DMTJs). Hardware and algorithmic optimizations are proposed and benchmarked against a state-of-the-art CiM-based XNOR-bitcount design. Simulation results show that the hardware optimization reduces the storage requirement for each XNOR-bitcount operation by 50%, while the algorithmic optimization improves execution time and energy consumption by about 30% and 26%, respectively, for single and sequential 9-bit XNOR-bitcount operations. A case study on shape analysis using bit-quads is demonstrated.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS (2023)

Article Multidisciplinary Sciences

A crossbar array of magnetoresistive memory devices for in-memory computing

Seungchul Jung et al.

Summary: This paper presents a 64 x 64 crossbar array based on MRAM cells that overcomes the low-resistance issue and successfully implements analogue multiply-accumulate operations in artificial neural networks. The researchers achieved high classification accuracy and face detection using this array for tasks involving 10,000 digits.

NATURE (2022)

Article Engineering, Electrical & Electronic

Reconfigurable Bit-Serial Operation Using Toggle SOT-MRAM for High-Performance Computing in Memory Architecture

Jinkai Wang et al.

Summary: This paper introduces a reconfigurable bit-serial operation using TSOT-MRAM for computing in memory. It achieves higher throughput and energy efficiency by performing computations in the bit-cell array. The authors demonstrate the performance advantage of the bit-serial CIM scheme through convolution operations and analyze its reliability.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2022)

Review Computer Science, Information Systems

A survey of in-spin transfer torque MRAM computing

Hao Cai et al.

Summary: This study reviews the latest techniques in in-memory computing, focusing on the design schemes of spin-transfer torque-MRAM. It points out the limitations and challenges of in-MRAM computing, offering potential methods to overcome these issues. The study also considers design technology co-optimization from the IMC perspective.

SCIENCE CHINA-INFORMATION SCIENCES (2021)

Article Engineering, Electrical & Electronic

RADAR: A Fast and Energy-Efficient Programming Technique for Multiple Bits-Per-Cell RRAM Arrays

Binh Q. Le et al.

Summary: HfO2-based resistive RAM (RRAM) is a new emerging nonvolatile memory technology capable of storing multiple bits-per-cell. The RADAR technique significantly reduces the programming pulse count for multiple bits-per-cell RRAM arrays, achieving fast and energy-efficient programming.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2021)

Proceedings Paper Engineering, Electrical & Electronic

STT-MRAM Architecture with Parallel Accumulator for In-Memory Binary Neural Networks

Thi-Nhan Pham et al.

Summary: This paper presents a row-wise XNOR accumulator architecture for STT-MRAM arrays, which enables parallel and efficient multiply-and-accumulate operations, and is suitable for in-memory computing and binary neural network applications. The architecture, which does not require ADC, can achieve high classification accuracy for XNOR vector sizes of 128 bits.

2021 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) (2021)

Article Engineering, Electrical & Electronic

In-Memory Low-Cost Bit-Serial Addition Using Commodity DRAM Technology

Mustafa E. Ali et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2020)

Article Computer Science, Artificial Intelligence

Binary neural networks: A survey

Haotong Qin et al.

PATTERN RECOGNITION (2020)

Article Engineering, Electrical & Electronic

Spintronic Processing Unit in Spin Transfer Torque Magnetic Random Access Memory

He Zhang et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2019)

Article Computer Science, Hardware & Architecture

An Adaptive Thermal-Aware ECC Scheme for Reliable STT-MRAM LLC Design

Bi Wu et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2019)

Article Computer Science, Hardware & Architecture

PXNOR-BNN: In/With Spin-Orbit Torque MRAM Preset-XNOR Operation-Based Binary Neural Networks

Liang Chang et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2019)

Article Engineering, Electrical & Electronic

Spintronic Processing Unit Within Voltage-Gated Spin Hall Effect MRAMs

He Zhang et al.

IEEE TRANSACTIONS ON NANOTECHNOLOGY (2019)

Proceedings Paper Computer Science, Hardware & Architecture

Compute Caches

Shaizeen Aga et al.

2017 23RD IEEE INTERNATIONAL SYMPOSIUM ON HIGH PERFORMANCE COMPUTER ARCHITECTURE (HPCA) (2017)

Article Computer Science, Hardware & Architecture

Temperature Impact Analysis and Access Reliability Enhancement for 1T1MTJ STT-RAM

Bi Wu et al.

IEEE TRANSACTIONS ON RELIABILITY (2016)

Article Engineering, Electrical & Electronic

Nonvolatile Logic-in-Memory LSI Using Cycle-Based Power Gating and its Application to Motion-Vector Prediction

Masanori Natsui et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2015)

Article Engineering, Electrical & Electronic

Compact Model of Subvolume MTJ and Its Design Application at Nanoscale Technology Nodes

Yue Zhang et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2015)

Article Materials Science, Multidisciplinary

Spin-torque switching efficiency in CoFeB-MgO based tunnel junctions

J. Z. Sun et al.

PHYSICAL REVIEW B (2013)

Article Computer Science, Hardware & Architecture

A Novel Sensing Circuit for Deep Submicron Spin Transfer Torque MRAM (STT-MRAM)

Jisu Kim et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2012)

Article Engineering, Electrical & Electronic

High Speed, High Stability and Low Power Sensing Amplifier for MTJ/CMOS Hybrid Logic Circuits

Weisheng Zhao et al.

IEEE TRANSACTIONS ON MAGNETICS (2009)