4.7 Article

Potassium hydroxide surface modification for low temperature Cu/SiO2 hybrid bonding

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Article Chemistry, Physical

Direct metal bonding using nanotwinned Ag films with (111) surface orientation under air atmosphere for heterogeneous integration

Leh-Ping Chang et al.

Summary: This study demonstrates the use of highly (1 1 1)-oriented nanotwinned Ag films for metal direct bonding under air atmosphere at low temperatures and short duration. The bonded samples show a continuous and oxide-free bonding interface with high bonding strength.

APPLIED SURFACE SCIENCE (2022)

Article Materials Science, Multidisciplinary

Mechanical strengthening of nanotwinned Cu films with Ag solid solution

Kang-Ping Lee et al.

Summary: This study enhances the mechanical strength of nanotwinned copper films by using Ag solid solution. Through depositing a thin silver layer onto the nt-Cu film and annealing it at low temperatures, Ag atoms diffuse into the nt-Cu film and strengthen it, resulting in a significant improvement in hardness.

MATERIALS LETTERS (2022)

Article Chemistry, Physical

Low-Temperature Cu/SiO2 Hybrid Bonding with Low Contact Resistance Using (111)-Oriented Cu Surfaces

Jia-Juen Ong et al.

Summary: In this study, low-temperature and low-pressure Cu/SiO2 hybrid bonding were achieved using (111)-oriented Cu with high surface diffusivity. Array of Cu vias with 78% (111) surface grains were fabricated through electroplating. The bonding process was done at a temperature as low as 200 degrees C and pressure of 1.06 MPa. The results showed that the specific contact resistance of the joints was 1.2 x 10(-9) omega center dot cm(2), the lowest reported for Cu-Cu joints bonded below 300 degrees C. The joints also exhibited excellent thermal stability up to 375 degrees C. The bonding mechanism was also explained to provide further understanding.

MATERIALS (2022)

Article Chemistry, Physical

Investigation of bonding mechanism for low-temperature Cu-Cu bonding with passivation layer

Zhong-Jie Hong et al.

Summary: This research investigated the bonding mechanism of low-temperature Cu-Cu thermal compression bonding (TCB) with passivation layer and found that grain boundary diffusion of Cu leads to the formation of amorphous Cu at the bonding interface in the passivated-Cu structure. Through the diffusion of Cu atoms and recrystallization of amorphous Cu, voids at the bonding interface can be eliminated, resulting in high quality bonding results with low thermal budget.

APPLIED SURFACE SCIENCE (2022)

Article Multidisciplinary Sciences

Enhancement of fatigue resistance by recrystallization and grain growth to eliminate bonding interfaces in Cu-Cu joints

Jia-Juen Ong et al.

Summary: Cu-Cu joints were fabricated for high density packaging, and the fatigue resistance was significantly improved by eliminating the bonding interfaces through annealing.

SCIENTIFIC REPORTS (2022)

Article Chemistry, Physical

Cu-Cu joint formation by low-temperature sintering of self-reducible Cu nanoparticle paste under ambient condition

Yulei Yuan et al.

Summary: This paper introduces a new type of self-reducible Cu nanoparticle paste, which achieves reliable Cu-Cu joints under different temperature and time conditions at high temperatures. The proposed MOD assisted self-reduction and sintering mechanism provides effective theoretical support for the practical application of Cu-Cu bonding.

APPLIED SURFACE SCIENCE (2021)

Review Engineering, Electrical & Electronic

Development of low temperature Cu-Cu bonding and hybrid bonding for three-dimensional integrated circuits (3D IC)

Han-Wen Hu et al.

Summary: Thermal-compression bonding (TCB) is crucial for vertical chip stacking in 3D integration, with copper (Cu) to Cu bonding being the preferred choice due to its excellent properties. However, issues such as wafer warpage and bonding misalignment are caused by the high thermal budget of the bonding process. Low temperature Cu/SiO2 hybrid bonding technology emerges as a solution to coplanarity and filling issues, promising great potential for ultra-high density interconnection in 3D integration.

MICROELECTRONICS RELIABILITY (2021)

Article Chemistry, Physical

Failure Mechanisms of Cu-Cu Bumps under Thermal Cycling

Kai-Cheng Shie et al.

Summary: During thermal cycling test, Cu-Cu bumps showed minimal resistance change but cracks were found in the center of the bonding interface, possibly due to weak grain boundaries. Finite element analysis indicated that maximum stress was near the Cu redistribution lines, and the presence of TiW adhesion layer provided strong bonding strength.

MATERIALS (2021)

Article Chemistry, Physical

Low temperature Ag-Ag direct bonding under air atmosphere

Leh-Ping Chang et al.

Summary: In this study, sputtered Ag thin films were used as bonding materials to achieve Ag-Ag direct bonding through thermo-compression process at 180-200 degrees C under air atmosphere. The excellent bonding quality of Ag-Ag bonding interface and shear strength was attributed to the dissociation behavior of Ag2O at elevated temperatures under air atmosphere. The results also demonstrated the reliability of Ag-Ag direct bonding through temperature cycle tests.

JOURNAL OF ALLOYS AND COMPOUNDS (2021)

Article Materials Science, Multidisciplinary

Interfacial void ripening in Cu-Cu joints

Hung-Che Liu et al.

Summary: This study utilized Cu-to-Cu direct bonding technology and transmission electron microscopy to investigate the size distribution and evolution of voids under different conditions. The interface ripening effect was observed, with void size and count increasing with annealing time. A simple kinetic model of ripening was developed, showing good agreement with experimental data.

MATERIALS CHARACTERIZATION (2021)

Article Materials Science, Multidisciplinary

A kinetic model of copper-to-copper direct bonding under thermal compression

Kai-Cheng Shie et al.

Summary: A surface creep model is proposed to analyze Cu-to-Cu direct bonding under thermal compression. The pressure gradient drives layers of atoms to fill voids at the bonding interface. The study focuses on the correlation among key parameters such as surface roughness, experimental bonding time, temperature, and pressure, and discusses the influence of theoretical bonding time on bonding conditions.

JOURNAL OF MATERIALS RESEARCH AND TECHNOLOGY-JMR&T (2021)

Article Materials Science, Multidisciplinary

A solid state process to obtain high mechanical strength in Cu-to-Cu joints by surface creep on (111)-oriented nanotwins Cu

Jing-Ye Juang et al.

Summary: In this study, we investigated the bonding of highly (111)-oriented Cu microbumps to highly (111)-oriented Cu films and randomly-oriented Cu films, comparing their microstructures and mechanical strength of the bonded interfaces. Results show that the (111) Cu microbumps bonded to (111) Cu films had fewer voids and higher bonding strength compared to those bonded to randomly oriented Cu films at the same temperature conditions. The fast surface diffusivity on the (111) surfaces was proposed to play a crucial role in increasing the bonding strength of the Cu joint, supported by a reasonable agreement between a surface creep model and experimental data.

JOURNAL OF MATERIALS RESEARCH AND TECHNOLOGY-JMR&T (2021)

Article Engineering, Electrical & Electronic

Demonstration of Low-Temperature Fine-Pitch Cu/SiO2 Hybrid Bonding by Au Passivation

Demin Liu et al.

Summary: Fine pitch Cu/SiO2 hybrid bonding was successfully achieved at a low temperature of 120 degrees C using Au passivation method, showing stable electrical performance. This method exhibits excellent bonding quality, low thermal budget, and high reliability, making it highly feasible for 3D IC and heterogenous integration applications.

IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY (2021)

Article Chemistry, Physical

Interaction of NaOH solutions with silica surfaces

J. M. Rimsza et al.

JOURNAL OF COLLOID AND INTERFACE SCIENCE (2018)

Article Materials Science, Multidisciplinary

Combined Surface Activated Bonding Technique for Low-Temperature Cu/Dielectric Hybrid Bonding

Ran He et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2016)

Article Chemistry, Physical

Time-varying wetting behavior on copper wafer treated by wet-etching

Sheng-Hung Tu et al.

APPLIED SURFACE SCIENCE (2015)

Article Multidisciplinary Sciences

Low-temperature direct copper-to-copper bonding enabled by creep on (111) surfaces of nanotwinned Cu

Chien-Min Liu et al.

SCIENTIFIC REPORTS (2015)

Article Materials Science, Multidisciplinary

Oxygen Plasma and Humidity Dependent Surface Analysis of Silicon, Silicon Dioxide and Glass for Direct Wafer Bonding

A. U. Alam et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2013)

Article Multidisciplinary Sciences

Unidirectional Growth of Microbumps on (111)-Oriented and Nanotwinned Copper

Hsiang-Yao Hsiao et al.

SCIENCE (2012)

Article Multidisciplinary Sciences

Ultrahigh strength and high electrical conductivity in copper

L Lu et al.

SCIENCE (2004)

Article Physics, Applied

Low-temperature bonding of silicon-oxide-covered wafers using diluted HF etching

QY Tong et al.

APPLIED PHYSICS LETTERS (2004)