4.6 Review

A Short Review of Through-Silicon via (TSV) Interconnects: Metrology and Analysis

期刊

APPLIED SCIENCES-BASEL
卷 13, 期 14, 页码 -

出版社

MDPI
DOI: 10.3390/app13148301

关键词

TSV; reliability; integrated circuits; electronic packaging

向作者/读者索取更多资源

This review focuses on the measurement methods used to evaluate the geometry and electrical properties of through-silicon vias (TSVs) and examines the reliability issues associated with TSVs in 3D integrated circuits (ICs). Non-destructive measurement techniques for TSV contours and copper fillings have been researched, including high-frequency signal analysis methods and thermal detection methods. The reliability risks of TSVs arise from various factors such as copper contamination, thermal fields in 3D-ICs, stress fields, noise coupling, and interactions between multiple physical fields. Designing reliable 3D-ICs with TSVs requires electrical characterization of copper contamination, assessment of stress distribution using micro-Raman spectroscopy and finite element simulations, and the proposal of shield insertion to mitigate cross-coupling effects.
This review investigates the measurement methods employed to assess the geometry and electrical properties of through-silicon vias (TSVs) and examines the reliability issues associated with TSVs in 3D integrated circuits (ICs). Presently, measurements of TSVs primarily focus on their geometry, filling defects, and the integrity of the insulating dielectric liner. Non-destructive measurement techniques for TSV contours and copper fillings have emerged as a significant area of research. This review discusses the non-destructive measurement of contours using high-frequency signal analysis methods, which aid in determining the stress distribution and reliability risks of TSVs. Additionally, a non-destructive thermal detection method is presented for identifying copper fillings in TSVs. This method exploits the distinct external characteristics exhibited by intact and defective TSVs under thermoelectric coupling excitation. The reliability risks associated with TSVs in service primarily arise from copper contamination, thermal fields in 3D-ICs, stress fields, noise coupling between TSVs, and the interactions among multiple physical fields. These reliability risks impose stringent requirements on the design of 3D-ICs featuring TSVs. It is necessary to electrically characterize the influence of copper contamination resulting from the TSV filling process on the reliability of 3D-ICs over time. Furthermore, the assessment of stress distribution in TSVs necessitates a combination of micro-Raman spectroscopy and finite element simulations. To mitigate cross-coupling effects between TSVs, the insertion of a shield between them is proposed. For efficient optimization of shield placement at the chip level, the geometric model of TSV cross-coupling requires continuous refinement for finite element calculations. Numerical simulations based on finite element methods, artificial intelligence, and machine learning have been applied in this field. Nonetheless, comprehensive design tools and methods in this domain are still lacking. Moreover, the increasing integration of 3D-ICs poses challenges to the manufacturing process of TSVs.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.6
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据