4.7 Article

Polymer Nanoparticles Applied in the CMP (Chemical Mechanical Polishing) Process of Chip Wafers for Defect Improvement and Polishing Removal Rate Response

期刊

POLYMERS
卷 15, 期 15, 页码 -

出版社

MDPI
DOI: 10.3390/polym15153198

关键词

chemical mechanical polishing; polymer nanoparticle; particle shape; particle size; particle solid content; colloidal silica; fume silica; polishing removal rates; polishing defect counts; polishing uniformity; aggregation ratio; block copolymer; polystyrene

向作者/读者索取更多资源

Chemical mechanical planarization (CMP) is a wet procedure that combines chemical and mechanical forces to flatten materials for semiconductors. The use of CMP is crucial for achieving small nano-sized devices with few defects and high wafer yields. The choice of abrasive particles in the slurry, whether inorganic or polymer nanoparticles, affects the removal rates, uniformity, defects, and removal selectivity on the wafer surface. We found that polymer nanoparticles significantly improved uniformity and reduced defect counts, although their removal rates were lower than inorganic nanoparticles. The physical properties of polymer nanoparticles, such as size, shape, and molecular types, also influence the polishing performance.
Chemical mechanical planarization (CMP) is a wafer-surface-polishing planarization technique based on a wet procedure that combines chemical and mechanical forces to fully flatten materials for semiconductors to be mounted on the wafer surface. The achievement of devices of a small nano-size with few defects and good wafer yields is essential in enabling IC chip manufacturers to enhance their profits and become more competitive. The CMP process is applied to produce many IC generations of nanometer node, or those of even narrower line widths, for a better performance and manufacturing feasibility. Slurry is a necessary supply for CMP. The most critical component in slurry is an abrasive particle which affects the removal rates, uniformity, defects, and removal selectivity for the materials on the wafer surface. The polishing abrasive is the source of mechanical force. Conventional CMP abrasives consist of colloidal silica, fume silica or other inorganic polishing particles in the slurries. We were the first to systematically study nanoparticles of the polymer type applied in CMP, and to compare traditional inorganic and polymer nanoparticles in terms of polishing performance. In particular, the polymer nanoparticle size, shape, solid content dosing ratio, and molecular types were examined. The polishing performance was measured for the polishing removal rates, total defect counts, and uniformity. We found that the polymer nanoparticles significantly improved the total defect counts and uniformity, although the removal rates were lower than the rates obtained using inorganic nanoparticles. However, the lower removal rates of the polymer nanoparticles are acceptable due to the thinner film materials used for smaller IC device nodes, which may be below 10 nm. We also found that the physical properties of polymer nanoparticles, in terms of their size, shape, and different types of copolymer molecules, cause differences in the polishing performance. Meanwhile, we used statistical analysis software to analyze the data on the polishing removal rates and defect counts. This method helps to determine the most suitable polymer nanoparticle for use as a slurry abrasive, and improves the reliability trends for defect counts.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.7
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据