4.8 Article

Wafer-Scale Integration of Single Layer Graphene Electro-Absorption Modulators in a 300 mm CMOS Pilot Line

期刊

LASER & PHOTONICS REVIEWS
卷 17, 期 6, 页码 -

出版社

WILEY-V C H VERLAG GMBH
DOI: 10.1002/lpor.202200789

关键词

CMOS-compatible; electro-absorption modulators; graphene; integration; photonics

向作者/读者索取更多资源

Graphene-based single-layer electro-absorption modulators have been successfully integrated in a 300mm pilot CMOS foundry environment. Through analysis of data from hundreds of devices, the impact of specific processing steps on performance was identified and optimized. The results achieved, with a modulation depth of 50+/-4 dB mm(-1) and an electro-optical bandwidth of 15.1+/-1.8 GHz, are comparable to lab-based record-setting graphene devices. By demonstrating the reproducibility of results across multiple devices, this work overcomes the bottleneck of graphene wafer-scale integration and enables co-integration with other building blocks for high-volume, low-cost manufacturing.
Graphene-based devices have shown great promise for several applications. For graphene devices to be used in real-world systems, it is necessary to demonstrate competitive device performance, repeatability of results, reliability, and a path to large-scale manufacturing with high yield at low cost. Here, single-layer graphene electro-absorption modulators are selected as test vehicles, and their wafer-scale integration is established in a 300 mm pilot complementary metal-oxide-semiconductor (CMOS) foundry environment. A hardmask is used to shape graphene, while tungsten-based contacts are fabricated using the damascene approach to enable CMOS-compatible fabrication. By analyzing data from hundreds of devices per wafer, the impact of specific processing steps on the performance could be identified and optimized. After optimization, modulation depth of 50 +/- 4 dB mm(-1) is demonstrated on 400 devices measured using 6 V peak-to-peak voltage. The electro-optical bandwidth is up to 15.1 +/- 1.8 GHz for 25 mu$\umu$m-long devices. The results achieved are comparable to lab-based record-setting graphene devices of similar design and CVD graphene quality. By demonstrating the reproducibility of the results across hundreds of devices, this work resolves the bottleneck of graphene wafer-scale integration. Furthermore, CMOS-compatible processing enables co-integration of graphene-based devices with other photonics and electronics building blocks on the same chip for high-volume, low-cost manufacturing.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.8
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据