4.5 Article

Design of Ultracompact Content Addressable Memory Exploiting 1T-1MTJ Cell

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Proceedings Paper Computer Science, Artificial Intelligence

Energy Efficient Data Search Design and Optimization Based on A Compact Ferroelectric FET Content Addressable Memory

Jiahao Cai et al.

Summary: This paper presents a compact and energy-efficient design scheme for Content Addressable Memory (CAM) that utilizes nonvolatile memories (NVMs). By reducing design overhead and optimizing search energy through an adaptive matchline precharge and discharge scheme, the proposed design achieves better energy efficiency compared to other CAM arrays. Evaluation results also demonstrate significant improvements in energy-delay product for query processing applications.

PROCEEDINGS OF THE 59TH ACM/IEEE DESIGN AUTOMATION CONFERENCE, DAC 2022 (2022)

Proceedings Paper Engineering, Electrical & Electronic

In-Memory Computing with Associative Memories: A Cross-Layer Perspective

Xiaobo Sharon Hu et al.

Summary: This paper highlights the significance of associative memories (AMs) and their designs, showcasing the use of different non-volatile memory technologies in machine learning applications. The discussion also emphasizes the importance of cross-layer design in memory computing.

2021 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) (2021)

Article Engineering, Electrical & Electronic

Design of Magnetic Non-Volatile TCAM With Priority-Decision in Memory Technology for High Speed, Low Power, and High Reliability

Chengzhi Wang et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2020)

Article Engineering, Electrical & Electronic

FeCAM: A Universal Compact Digital and Analog Content Addressable Memory Using Ferroelectric

Xunzhao Yin et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2020)

Article Computer Science, Hardware & Architecture

SearcHD: A Memory-Centric Hyperdimensional Computing With Stochastic Training

Mohsen Imani et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2020)

Proceedings Paper Engineering, Electrical & Electronic

A Scalable Design of Multi-Bit Ferroelectric Content Addressable Memory for Data-Centric Computing

Chao Li et al.

2020 IEEE INTERNATIONAL ELECTRON DEVICES MEETING (IEDM) (2020)

Article Engineering, Electrical & Electronic

A Novel MTJ-Based Non-Volatile Ternary Content-Addressable Memory for High-Speed, Low-Power, and High-Reliable Search Operation

Chengzhi Wang et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2019)

Article Engineering, Electrical & Electronic

Spintronic Processing Unit in Spin Transfer Torque Magnetic Random Access Memory

He Zhang et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2019)

Article Engineering, Electrical & Electronic

An Ultra-Dense 2FeFET TCAM Design Based on a Multi-Domain FeFET Model

Xunzhao Yin et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS (2019)

Article Computer Science, Hardware & Architecture

Ferroelectric FETs-Based Nonvolatile Logic-in-Memory Circuits

Xunzhao Yin et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2019)

Proceedings Paper Computer Science, Software Engineering

BRIC: Locality-based Encoding for Energy-Efficient Brain-Inspired Hyperdimensional Computing

Mohsen Imani et al.

PROCEEDINGS OF THE 2019 56TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC) (2019)

Article Engineering, Electrical & Electronic

Ferroelectric ternary content-addressable memory for one-shot learning

Kai Ni et al.

NATURE ELECTRONICS (2019)

Proceedings Paper Computer Science, Theory & Methods

An Overview of In-memory Processing with Emerging Non-volatile Memory for Data-intensive Applications

Bing Li et al.

GLSVLSI '19 - PROCEEDINGS OF THE 2019 ON GREAT LAKES SYMPOSIUM ON VLSI (2019)

Article Computer Science, Hardware & Architecture

Computing in Memory With Spin-Transfer Torque Magnetic RAM

Shubham Jain et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2018)

Review Engineering, Electrical & Electronic

The era of hyper-scaling in electronics

Sayeef Salahuddin et al.

NATURE ELECTRONICS (2018)

Article Engineering, Electrical & Electronic

A 3T1R Nonvolatile TCAM Using MLC ReRAM for Frequent-Off Instant-On Filters in IoT and Big-Data Processing

Meng-Fan Chang et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2017)

Article Engineering, Electrical & Electronic

Robust Ultra-Low Power Non-Volatile Logic-in-Memory Circuits in FD-SOI Technology

Hao Cai et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2017)

Article Engineering, Electrical & Electronic

A 10T-4MTJ Nonvolatile Ternary CAM Cell for Reliable Search Operation and a Compact Area

Byungkyu Song et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS (2017)

Proceedings Paper Computer Science, Hardware & Architecture

Exploring STT-MRAM based In-Memory Computing Paradigm with Application of Image Edge Extraction

Zhezhi He et al.

2017 IEEE 35TH INTERNATIONAL CONFERENCE ON COMPUTER DESIGN (ICCD) (2017)

Article Computer Science, Hardware & Architecture

Design and Analysis of STTRAM-Based Ternary Content Addressable Memory Cell

Rekha Govindaraj et al.

ACM JOURNAL ON EMERGING TECHNOLOGIES IN COMPUTING SYSTEMS (2017)

Proceedings Paper Computer Science, Hardware & Architecture

Exploring Hyperdimensional Associative Memory

Mohsen Imani et al.

2017 23RD IEEE INTERNATIONAL SYMPOSIUM ON HIGH PERFORMANCE COMPUTER ARCHITECTURE (HPCA) (2017)

Article Engineering, Electrical & Electronic

Compact Model of Dielectric Breakdown in Spin-Transfer Torque Magnetic Tunnel Junction

You Wang et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2016)

Article Engineering, Electrical & Electronic

Spin-Transfer Torque Memories: Devices, Circuits, and Systems

Xuanyao Fong et al.

PROCEEDINGS OF THE IEEE (2016)

Article Engineering, Electrical & Electronic

Robust High Speed Ternary Magnetic Content Addressable Memory

Mohit Kumar Gupta et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2015)

Article Engineering, Electrical & Electronic

Reconfigurable Codesign of STT-MRAM Under Process Variations in Deeply Scaled Technology

Wang Kang et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2015)

Article Engineering, Electrical & Electronic

High-Density and Robust STT-MRAM Array Through Device/Circuit/Architecture Interactions

Kon-Woo Kwon et al.

IEEE TRANSACTIONS ON NANOTECHNOLOGY (2015)

Article Engineering, Electrical & Electronic

Emerging Trends in Design and Applications of Memory-Based Computing and Content-Addressable Memories

Robert Karam et al.

PROCEEDINGS OF THE IEEE (2015)

Article Engineering, Electrical & Electronic

Synchronous Non-Volatile Logic Gate Design Based on Resistive Switching Memories

Weisheng Zhao et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2014)

Article Engineering, Electrical & Electronic

Complementary 5T-4MTJ nonvolatile TCAM cell circuit with phase-selective parallel writing scheme

Shoun Matsunaga et al.

IEICE ELECTRONICS EXPRESS (2014)

Article Engineering, Electrical & Electronic

Design of an energy-efficient 2T-2MTJ nonvolatile TCAM based on a parallel-serial-combined search scheme

Shoun Matsunaga et al.

IEICE ELECTRONICS EXPRESS (2014)

Article Engineering, Electrical & Electronic

Compact model of magnetic tunnel junction with stochastic spin transfer torque switching for reliability analyses

Y. Wang et al.

MICROELECTRONICS RELIABILITY (2014)

Article Engineering, Electrical & Electronic

Bit-Cell Level Optimization for Non-volatile Memories Using Magnetic Tunnel Junctions and Spin-Transfer Torque Switching

Xuanyao Fong et al.

IEEE TRANSACTIONS ON NANOTECHNOLOGY (2012)

Article Computer Science, Hardware & Architecture

Design of Spin-Torque Transfer Magnetoresistive RAM and CAM/TCAM with High Sensing and Search Speed

Wei Xu et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2010)

Article Engineering, Electrical & Electronic

A High-Performance and Energy-Efficient TCAM Design for IP-Address Lookup

Yen-Jen Chang

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS (2009)

Article Engineering, Electrical & Electronic

Content-addressable memory (CAM) circuits and architectures: A tutorial and survey

K Pagiamtzis et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2006)