4.5 Article

Recent Developments and Prospects of Fully Recessed MIS Gate Structures for GaN on Si Power Transistors

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Article Physics, Applied

Wet-based digital etching on GaN and AlGaN

Pao-Chuan Shih et al.

Summary: This work demonstrates a wet-chemical digital etching method on GaN and AlGaN for scaling vertical nanostructures and planar etching along the c-axis. The method allows for fine sharpening of vertical structures without the need for vacuum or plasma systems.

APPLIED PHYSICS LETTERS (2022)

Article Engineering, Electrical & Electronic

Impact of in situ NH3 pre-treatment of LPCVD SiN passivation on GaN HEMT performance

Ding-Yuan Chen et al.

Summary: The impact of in situ ammonia pre-treatment on the performance of GaN high electron mobility transistors (HEMTs) is investigated. The results show that NH3 pre-treatment reduces the oxygen content at the interface, leading to reduced surface-related current dispersion and improved output power.

SEMICONDUCTOR SCIENCE AND TECHNOLOGY (2022)

Article Physics, Applied

Study and characterization of GaN MOS capacitors: Planar vs trench topographies

K. Mukherjee et al.

Summary: This paper investigates the effect of planar etching treatment and trench formation on the performance of GaN-based MOS stacks. It is found that blanket etching the GaN surface does not degrade the robustness of the deposited dielectric layer. However, the addition of the trench etch improves reproducibility but results in a decrease in breakdown performance. Capacitance-voltage measurements reveal that as-grown planar capacitors have the lowest trapping, while trench capacitors have higher interface and bulk trapping. High resolution scanning transmission electron microscopy confirms an increased roughness at the GaN surface after blanket etching, correlated with higher density of interface traps.

APPLIED PHYSICS LETTERS (2022)

Article Chemistry, Physical

H3PO4-based wet chemical etching for recovery of dry-etched GaN surfaces

Sabria Benrabah et al.

Summary: This study explores the impact of several wet etchants commonly encountered in the microelectronic industry on the surface chemistry of GaN on silicon. Phosphoric acid treatment is found to significantly modify the surface and enable the recovery of the surface morphology. The study proposes a promising treatment method for the recovery of good quality GaN surfaces after dry etching.

APPLIED SURFACE SCIENCE (2022)

Article Chemistry, Physical

Blue luminescence origin and Mg acceptor saturation in highly doped zinc-blende GaN with Mg

Yaoqiao Hu et al.

Summary: This study investigates the incorporation of Mg at degenerated doping concentration in zinc-blende GaN and its impact on optical and electrical properties. Experimental results demonstrate that employing Ga-rich growth conditions can provide sufficient Mg to reach the desired Mg-acceptor concentration limit, and the detection of Mg incorporation into undesired sites was performed through the analysis of the blue band emission. Through a combination of theory and experimentation, the blue emission mechanism in zb-GaN:Mg is consistently explained for the first time.

JOURNAL OF ALLOYS AND COMPOUNDS (2022)

Proceedings Paper Computer Science, Hardware & Architecture

Normally-OFF 650V GaN-on-Si MOSc-HEMT Transistor: Benefits of the Fully Recessed Gate Architecture

C. Le Royer et al.

Summary: This paper presents a detailed performance status of AlGaN/GaN MOS channel HEMTs and highlights their advantages in the 650V application field.

2022 IEEE 34TH INTERNATIONAL SYMPOSIUM ON POWER SEMICONDUCTOR DEVICES AND ICS (ISPSD) (2022)

Article Chemistry, Physical

Correlating device behaviors with semiconductor lattice damage at MOS interface by comparing plasma-etching and regrown recessed-gate Al2O3/GaN MOS-FETs

Liang He et al.

Summary: The study compares the effects of plasma-etching and regrown methods on the electrical behaviors of Al2O3/GaN MOS-FETs, finding that regrown methods can improve device performance and maintain a high-quality MOS interface. In contrast, plasma-etching methods lead to lattice damage and high interface-trap density, resulting in degraded device behaviors.

APPLIED SURFACE SCIENCE (2021)

Article Physics, Applied

Formation of highly vertical trenches with rounded corners via inductively coupled plasma reactive ion etching for vertical GaN power devices

Shinji Yamada et al.

Summary: A dry-etching technique using inductively coupled plasma reactive ion etching (ICP-RIE) was developed to form an optimal trench shape with highly vertical sidewalls and rounded corners, with SiCl4 reactive gas mixed with Cl-2 gas contributing to the highly vertical trench formation. The optimization of bias power was key to suppressing the formation of subtrenches and achieving natural formation of rounded corners. Multistep-bias etching technique was applied to reduce etching-induced damage, showing promising results for GaN-based vertical T-MOSFETs.

APPLIED PHYSICS LETTERS (2021)

Article Physics, Applied

Suppression of interface states between nitride-based gate dielectrics and ultrathin-barrier AlGaN/GaN heterostructure with in situ remote plasma pretreatments

Fuqiang Guo et al.

Summary: By employing a SiNx film deposited by PEALD at 500 degrees C as the gate dielectric for GaN-based MIS-HEMTs, and implementing an in situ low-damage NH3/N-2 remote plasma pretreatment (RPP) technology, the device performance has been significantly enhanced. The RPP treatment leads to improved surface morphology and remarkably suppressed interface oxides, resulting in improved threshold stability and maximum output current for the fabricated MIS-HEMTs.

APPLIED PHYSICS LETTERS (2021)

Article Materials Science, Multidisciplinary

Selective area regrowth and doping for vertical gallium nitride power devices: Materials challenges and recent progress

Houqiang Fu et al.

Summary: This paper reviews the materials challenges and recent progress in selective area regrowth and doping for vertical GaN power devices. Various techniques, including advanced materials characterization and novel etching technologies, were discussed to improve regrowth interface and junction performance. Regrown p-n junctions with low leakage currents and vertical junction field-effect transistors were demonstrated, representing promising advancements towards high performance GaN power electronics devices.

MATERIALS TODAY (2021)

Article Engineering, Electrical & Electronic

Pt-Decorated Graphene Gate AlGaN/GaN MIS-HEMT for Ultrahigh Sensitive Hydrogen Gas Detection

Jungho Ahn et al.

Summary: The study presents an ultrahigh sensitive hydrogen sensor based on Pt-decorated graphene gate AlGaN/GaN MIS-HEMT, which operates at room temperature. The sensor demonstrates remarkably high sensitivity even at very low concentrations of hydrogen (<1 ppm).

IEEE TRANSACTIONS ON ELECTRON DEVICES (2021)

Article Physics, Applied

Analysis of channel mobility in GaN-based metal-oxide-semiconductor field-effect transistors

Kenji Ito et al.

Summary: The study found that inserting a thin interfacial layer in AlSiO/p-type GaN-based MOSFETs can significantly enhance channel mobility and reduce threshold voltage, thereby improving device performance.

JOURNAL OF APPLIED PHYSICS (2021)

Article Materials Science, Coatings & Films

Atomic layer etching of GaN using Cl2 and He or Ar plasma

Simon Ruel et al.

Summary: In this paper, the plasma-etching steps during the fabrication of a MOS-HEMT were investigated for their potential damage to GaN materials. The study compared the Cl-2-based atomic layer etching (ALE) process with He or Ar as the sputtering gas, examining the self-limiting synergy and process window of ALE. A comparison was also made to a steady-state process, evaluating roughness and electrical measurements to assess induced damage.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2021)

Review Nanoscience & Nanotechnology

Recent Advances in GaN-Based Power HEMT Devices

Jiaqi He et al.

Summary: This review discusses various effective approaches to enhance the performance of GaN-based power HEMTs, such as modified epistructures, recess-free processes, dielectric effects on MIS structure, metal/semiconductor contact engineering, and field plate effects.

ADVANCED ELECTRONIC MATERIALS (2021)

Article Physics, Applied

Controlling surface/interface states in GaN-based transistors: Surface model, insulated gate, and surface passivation

Joel T. Asubar et al.

Summary: The article focuses on the characteristics of gallium nitride materials and the impact of surface states and interface states on device performance, as well as methods to reduce their effects, and discusses a new capacitance-voltage technique. Future development directions are also proposed.

JOURNAL OF APPLIED PHYSICS (2021)

Article Physics, Applied

Role of energy-band offset in photo-electrochemical etching mechanism of p-GaN heterostructures

Aqdas Fariza et al.

Summary: The removal of the p-GaN layer around the gate region for developing p-GaN gate-based enhancement-mode AlGaN/GaN high-electron-mobility transistors has been demonstrated using photo-electrochemical etching. The etching process is stimulated by the strong oxidant sulfate radical SO4-* formed by H2SO4, leading to optimized etching parameters and dynamics attributed to band discontinuity at the p-GaN/AlGaN interface.

JOURNAL OF APPLIED PHYSICS (2021)

Article Engineering, Electrical & Electronic

High Breakdown Electric Field MIS-Free Fully Recessed-Gate Normally Off AlGaN/GaN HEMT With N2O Plasma Treatment

Yunlong He et al.

Summary: This article describes the fabrication of fully removed AlGaN barrier recessed-gate normally off AlGaN/GaN HEMTs with N2O plasma treatment, achieving devices with excellent characteristics and demonstrating a high breakdown electric field value.

IEEE JOURNAL OF EMERGING AND SELECTED TOPICS IN POWER ELECTRONICS (2021)

Article Physics, Applied

Defect-free interface between amorphous (Al2O3)1-x(SiO2)x and GaN(0001) revealed by first-principles simulated annealing technique

Kenta Chokawa et al.

Summary: First-principles molecular dynamics simulations revealed that dangling bonds are completely absent at the interfaces of amorphous mixed oxide and GaN polar surfaces. This indicates the superiority of the mixed oxide films for use as gate oxides in GaN-metal-oxide-semiconductor field effect transistors.

APPLIED PHYSICS LETTERS (2021)

Article Physics, Applied

Crystal-orientation-dependent flatband voltage of non-polar GaN MOS interfaces investigated using trench sidewall capacitors

Hirohisa Hirai et al.

Summary: The flatband voltage (V-fb) on m-face trenches was found to be significantly higher compared to a-face trenches, and the variation in V-fb among different trench directions was suppressed after treating trench sidewalls with a TMAH solution. This indicates that the origin of V-fb variation is attributed to the GaN crystal face dependence of fixed charge density at SiO2/GaN interfaces.

APPLIED PHYSICS LETTERS (2021)

Article Physics, Applied

Normally-off operations in partially-gate-recessed AlTiO/AlGaN/GaN field-effect transistors based on interface charge engineering

Duong Dai Nguyen et al.

Summary: In this study, normally-off operations were achieved in partially-gate-recessed AlTiO/AlGaN/GaN MIS-FETs by utilizing AlTiO as the gate insulator combined with a partial gate recess method. The experimental results demonstrated favorable performance, including low threshold voltage, small on-resistance, high output current, low sub-threshold swing, and high electron mobility. The combination of interface charge engineering with partial gate recess proved to be effective for GaN-based normally-off device technology.

JOURNAL OF APPLIED PHYSICS (2021)

Article Physics, Applied

Observations regarding deep-level states causing p-type doping in AlTiO gate and positive threshold voltage shift in AlGaN/GaN high electron mobility transistors

Sayak Dutta Gupta et al.

Summary: The application of ternary oxides in AlGaN/GaN HEMTs has been explored as a potential means to achieve enhancement mode operation. Through electro-optical experiments, it was found that UV exposure induces a negative threshold voltage shift in AlTiO gate stack, attributed to de-ionization of deep-level negative states caused by Al at Ti sites in AlTiO.

JOURNAL OF APPLIED PHYSICS (2021)

Proceedings Paper Engineering, Electrical & Electronic

High mobility in GaN MOSFETs with AlSiO gate dielectric and AlN mobility enhancement layer

Matthew Smith et al.

Summary: Effective mobility in GaN recess-gate MOSFETs with AlxSixO gate dielectric layers and AlN mobility enhancement layers have been achieved. Devices with AlN/Al0.23Si0.77O gate dielectric demonstrate a maximum mobility of over 1000 cm(2) V(-1)s(-1) at room temperature, rising to around 2800 cm(2)V(-1)s(-1) at 200 degrees C, while maintaining normally-off operation. The mobility is found to be linked to scattering mechanisms associated with carrier density profiles in the channel.

2021 IEEE 8TH WORKSHOP ON WIDE BANDGAP POWER DEVICES AND APPLICATIONS (WIPDA) (2021)

Article Engineering, Electrical & Electronic

Study of an Al2O3/GaN Interface for Normally Off MOS-Channel High-Electron-Mobility Transistors Using XPS Characterization: The Impact of Wet Surface Treatment on Threshold Voltage VTH

Laura Vauche et al.

Summary: Understanding the impact of GaN surface treatment conditions on dielectric/GaN interface chemical properties is crucial for device performance. Different wet treatments were studied prior to ALD of thin Al2O3 on Ga-polar GaN, and the results were correlated to the threshold voltage and donor defects in the resulting transistors. Wet treatments were also applied to AlGaN/GaN heterostructures for forming MOS-channel HEMTs on 200 mm GaN-on-Si wafers with CMOS compatible processing.

ACS APPLIED ELECTRONIC MATERIALS (2021)

Article Engineering, Electrical & Electronic

Mobility Fluctuations in a Normally-Off GaN MOSFET Using Tetramethylammonium Hydroxide Wet Etching

Ki-Sik Im

Summary: The low-frequency noise performance of a normally-off GaN MOSFET fabricated using TMAH wet etching was investigated, showing a dominance of mobility fluctuations. The calculated Hooge constants were relatively low, attributed to the effective removal of plasma etching damage and full recovery of crystal quality achieved by TMAH wet etching.

IEEE ELECTRON DEVICE LETTERS (2021)

Article Materials Science, Multidisciplinary

Improving Ni/GaN Schottky diode performance through interfacial passivation layer formed via ultraviolet/ozone treatment

Kwangeun Kim et al.

CURRENT APPLIED PHYSICS (2020)

Article Physics, Applied

Interface charge engineering in AlTiO/AlGaN/GaN metal-insulator-semiconductor devices

Duong Dai Nguyen et al.

JOURNAL OF APPLIED PHYSICS (2020)

Article Materials Science, Coatings & Films

Temperature-dependence of Cl2/Ar ICP-RIE of polar, semipolar, and nonpolar GaN and AlN following BCl3/Ar breakthrough plasma

Amit P. Shah et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2020)

Review Materials Science, Coatings & Films

Plasma etching of wide bandgap and ultrawide bandgap semiconductors

Stephen J. Pearton et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2020)

Article Engineering, Electrical & Electronic

XPS study of a selective GaN etching process using self-limiting cyclic approach for power devices application

Frederic Le Roux et al.

MICROELECTRONIC ENGINEERING (2020)

Article Physics, Applied

Recovery from plasma etching-induced nitrogen vacancies in p-type gallium nitride using UV/O3 treatments

Geoffrey M. Foster et al.

APPLIED PHYSICS LETTERS (2020)

Article Engineering, Electrical & Electronic

Characterization of AlSiO dielectrics with varying silicon composition for N-polar GaN-based devices

Islam Sayed et al.

SEMICONDUCTOR SCIENCE AND TECHNOLOGY (2020)

Article Engineering, Electrical & Electronic

Improvement of Electron Transport Property and ON-Resistance in Normally-OFF Al2O3/AlGaN/GaN MOS-HEMTs Using Post-Etch Surface Treatment

Jiejie Zhu et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2020)

Article Nanoscience & Nanotechnology

Gate controllability of HfSiOx/AlGaN/GaN MOS high-electron-mobility transistor

Ryota Ochi et al.

AIP ADVANCES (2020)

Article Physics, Applied

Atomic-scale identification of crystalline GaON nanophase for enhanced GaN MIS-FET channel

Xiangbin Cai et al.

APPLIED PHYSICS LETTERS (2019)

Article Engineering, Electrical & Electronic

Improved Interface Properties and Dielectric Breakdown in Recessed AlGaN/GaN MOS-HEMTs Using HfSiOX as Gate Dielectric

Sichao Li et al.

IEEE ELECTRON DEVICE LETTERS (2019)

Article Engineering, Electrical & Electronic

Fully Vertical GaN-on-Si power MOSFETs

Riyaz Abdul Khadar et al.

IEEE ELECTRON DEVICE LETTERS (2019)

Article Materials Science, Coatings & Films

Low temperature surface preparation of GaN substrates for atomic layer epitaxial growth: Assessment of ex situ preparations

Samantha G. Rosenberg et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2019)

Article Materials Science, Coatings & Films

Atomic layer etching of AlGaN using Cl2 and Ar gas chemistry and UV damage evaluation

Hiroyuki Fukumizu et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2019)

Article Nanoscience & Nanotechnology

The origin of negative charging in amorphous Al2O3 films: the role of native defects

Oliver A. Dicks et al.

NANOTECHNOLOGY (2019)

Article Engineering, Electrical & Electronic

Normally-off recessed-gate AlGaN/GaN MOS-HFETs with plasma enhanced atomic layer deposited AlOxNy gate insulator

Myoung-Jin Kang et al.

SEMICONDUCTOR SCIENCE AND TECHNOLOGY (2019)

Article Engineering, Electrical & Electronic

Improved performance of fully-recessed normally-off LPCVD SiN/GaN MISFET using N2O plasma pretreatment

Mengjun Li et al.

SOLID-STATE ELECTRONICS (2019)

Article Physics, Applied

Extrinsic Defects in Amorphous Oxides: Hydrogen, Carbon, and Nitrogen Impurities in Alumina

Zhendong Guo et al.

PHYSICAL REVIEW APPLIED (2019)

Article Engineering, Electrical & Electronic

Positive Threshold Voltage Shift in AlGaN/GaN HEMTs and E-Mode Operation By AlxTi1-xO Based Gate Stack Engineering

Sayak Dutta Gupta et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2019)

Article Materials Science, Coatings & Films

Cl-2/Ar based atomic layer etching of AlGaN layers

Sebastien Aroulanda et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2019)

Article Physics, Applied

Influence of reactive-ion-etching depth on interface properties in Al2O3/n-GaN MOS diodes

Hirokuni Tokuda et al.

JAPANESE JOURNAL OF APPLIED PHYSICS (2019)

Article Materials Science, Multidisciplinary

A Study of the Photoelectrochemical Etching of n-GaN in H3PO4 and KOH Electrolytes

C. Heffernan et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2019)

Article Engineering, Electrical & Electronic

Improved Current Collapse in Recessed AlGaN/GaN MOS-HEMTs by Interface and Structure Engineering

Qianlan Hu et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2019)

Article Engineering, Manufacturing

Low-Damage Etching for AlGaN/GaN HEMTs Using Photo-Electrochemical Reactions

Taketomo Sato et al.

IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING (2019)

Article Physics, Applied

Hafnium silicate gate dielectrics in GaN metal oxide semiconductor capacitors

Toshihide Nabatame et al.

APPLIED PHYSICS EXPRESS (2019)

Article Materials Science, Multidisciplinary

Sulfur Incorporation at Interface Between Atomic-Layer-Deposited Al2O3 Thin Film and AlGaN/GaN Heterostructure

Yoo Jin Jo et al.

ELECTRONIC MATERIALS LETTERS (2019)

Article Materials Science, Coatings & Films

Oxygen-based digital etching of AlGaN/GaN structures with AlN as etch-stop layers

Jingyi Wu et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2019)

Article Engineering, Electrical & Electronic

Improved Dynamic RON of GaN Vertical Trench MOSFETs (OG-FETs) Using TMAH Wet Etch

Dong Ji et al.

IEEE ELECTRON DEVICE LETTERS (2018)

Article Engineering, Electrical & Electronic

Channel Engineering of Normally-OFF AlGaN/GaN MOS-HEMTs by Atomic Layer Etching and High-κ Dielectric

Qianlan Hu et al.

IEEE ELECTRON DEVICE LETTERS (2018)

Article Engineering, Electrical & Electronic

Characterization of 880 V Normally Off GaN MOSHEMT on Silicon Substrate Fabricated With a Plasma-Free, Self-Terminated Gate Recess Process

Ming Tao et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2018)

Review Physics, Applied

The 2018 GaN power electronics roadmap

H. Amano et al.

JOURNAL OF PHYSICS D-APPLIED PHYSICS (2018)

Article Physics, Applied

Low-Energy Defectless Dry Etching of the AlGaN/AlN/GaN HEMT Barrier Layer

S. V. Mikhailovich et al.

TECHNICAL PHYSICS LETTERS (2018)

Article Engineering, Electrical & Electronic

Dual-Surface Modification of AlGaN/GaN HEMTs Using TMAH and Piranha Solutions for Enhancing Current and 1/f-Noise Characteristics

M. Siva Pratap Reddy et al.

IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY (2018)

Article Engineering, Electrical & Electronic

AlGaN/GaN MIS-HEMT With AlN Interface Protection Layer and Trench Termination Structure

Chao Yang et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2018)

Article Engineering, Electrical & Electronic

High Uniformity Normally-OFF p-GaN Gate HEMT Using Self-Terminated Digital Etching Technique

Hsien-Chin Chiu et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2018)

Article Engineering, Electrical & Electronic

First Demonstration of AlSiO as Gate Dielectric in GaN FETs; Applied to a High Performance OG-FET

Chirag Gupta et al.

IEEE ELECTRON DEVICE LETTERS (2017)

Article Engineering, Electrical & Electronic

Normally-Off LPCVD-SiNx/GaN MIS-FET With Crystalline Oxidation Interlayer

Mengyuan Hua et al.

IEEE ELECTRON DEVICE LETTERS (2017)

Article Automation & Control Systems

Device Technologies of GaN-on-Si for Power Electronics: Enhancement-Mode Hybrid MOS-HFET and Lateral Diode

Qi Zhou et al.

IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS (2017)

Article Physics, Applied

Band offset of Al1-xSixOy mixed oxide on GaN evaluated by hard X-ray photoelectron spectroscopy

Kenji Ito et al.

JAPANESE JOURNAL OF APPLIED PHYSICS (2017)

Article Physics, Applied

Atomic layer etching of GaN and AlGaN using directional plasma-enhanced approach

Tomihito Ohba et al.

JAPANESE JOURNAL OF APPLIED PHYSICS (2017)

Article Physics, Applied

Cubic crystalline erbium oxide growth on GaN(0001) by atomic layer deposition

Pei-Yu Chen et al.

JOURNAL OF APPLIED PHYSICS (2017)

Article Materials Science, Coatings & Films

Atomic layer etching of gallium nitride (0001)

Christoffer Kauppinen et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2017)

Article Materials Science, Coatings & Films

Predicting synergy in atomic layer etching

Keren J. Kanarik et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2017)

Article Engineering, Electrical & Electronic

Suppressed charge trapping characteristics of (NH4)2Sx passivated GaN MOS device with atomic layer deposited HfAlOx gate dielectric

Hoon Hee Han et al.

MICROELECTRONIC ENGINEERING (2017)

Article Nanoscience & Nanotechnology

AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition

An-Jye Tzou et al.

NANOSCALE RESEARCH LETTERS (2017)

Article Engineering, Electrical & Electronic

Post-deposition annealing effects on the insulator/semiconductor interfaces of Al2O3/AlGaN/GaN structures on Si substrates

Toshiharu Kubo et al.

SEMICONDUCTOR SCIENCE AND TECHNOLOGY (2017)

Article Materials Science, Multidisciplinary

Impact of Surface Treatment on Interface States of ALD Al2O3/GaN Interfaces

Christine M. Jackson et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2017)

Article Nanoscience & Nanotechnology

AlN Surface Passivation of GaN-Based High Electron Mobility Transistors by Plasma-Enhanced Atomic Layer Deposition

An-Jye Tzou et al.

NANOSCALE RESEARCH LETTERS (2017)

Article Nanoscience & Nanotechnology

Band-Bending of Ga-Polar GaN Interfaced with Al2O3 through Ultraviolet/Ozone Treatment

Kwangeun Kim et al.

ACS APPLIED MATERIALS & INTERFACES (2017)

Article Physics, Applied

On the physical operation and optimization of the p-GaN gate in normally-off GaN HEMT devices

L. Efthymiou et al.

APPLIED PHYSICS LETTERS (2017)

Article Engineering, Electrical & Electronic

Gate stack engineering for GaN lateral power transistors

Shu Yang et al.

SEMICONDUCTOR SCIENCE AND TECHNOLOGY (2016)

Article Nanoscience & Nanotechnology

Oxide Charge Engineering of Atomic Layer Deposited AlOxNy/Al2O3 Gate Dielectrics: A Path to Enhancement Mode GaN Devices

M. A. Negara et al.

ACS APPLIED MATERIALS & INTERFACES (2016)

Article Physics, Applied

Oxygen defects in amorphous Al2O3: A hybrid functional study

Zhendong Guo et al.

APPLIED PHYSICS LETTERS (2016)

Article Engineering, Electrical & Electronic

A GaN HEMT Structure Allowing Self-Terminated, Plasma-Free Etching for High-Uniformity, High-Mobility Enhancement-Mode Devices

Shuxun Lin et al.

IEEE ELECTRON DEVICE LETTERS (2016)

Article Engineering, Electrical & Electronic

AlGaN/GaN HEMTs With Damage-Free Neutral Beam Etched Gate Recess for High-Performance Millimeter-Wave Applications

Yen-Ku Lin et al.

IEEE ELECTRON DEVICE LETTERS (2016)

Article Engineering, Electrical & Electronic

7.6 V Threshold Voltage High-Performance Normally-Off Al2O3/GaN MOSFET Achieved by Interface Charge Engineering

Qi Zhou et al.

IEEE ELECTRON DEVICE LETTERS (2016)

Article Physics, Applied

Metalorganic chemical vapor deposition and characterization of (Al,Si)O dielectrics for GaN-based devices

Silvia H. Chan et al.

JAPANESE JOURNAL OF APPLIED PHYSICS (2016)

Article Physics, Applied

Insulated gate and surface passivation structures for GaN-based power transistors

Zenji Yatabe et al.

JOURNAL OF PHYSICS D-APPLIED PHYSICS (2016)

Article Materials Science, Multidisciplinary

Investigation of Surface Band Bending of Ga-Face GaN by Angle-Resolved X-ray Photoelectron Spectroscopy

T. L. Duan et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2016)

Proceedings Paper Physics, Applied

Investigation of HCl-based surface treatment for GaN devices

Hiroshi Okada et al.

IRAGO CONFERENCE 2015: 360 DEGREE OUTLOOK ON CRITICAL SCIENTIFIC AND TECHNOLOGICAL CHALLENGES FOR A SUSTAINABLE SOCIETY (2016)

Article Nanoscience & Nanotechnology

Interface Trap Density Reduction for Al2O3/GaN (0001) Interfaces by Oxidizing Surface Preparation prior to Atomic Layer Deposition

Dmitry M. Zhernokletov et al.

ACS APPLIED MATERIALS & INTERFACES (2015)

Article Engineering, Electrical & Electronic

High-Performance Enhancement-Mode Al2O3/AlGaN/GaN-on-Si MISFETs With 626 MW/cm2 Figure of Merit

Qi Zhou et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2015)

Review Materials Science, Coatings & Films

Overview of atomic layer etching in the semiconductor industry

Keren J. Kanarik et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2015)

Article Engineering, Electrical & Electronic

The effects of (NH4)2Sx treatment on n-GaN MOS device with nano-laminated ALD HfAlOx and Ru gate stack

Donghwan Lim et al.

MICROELECTRONIC ENGINEERING (2015)

Article Physics, Condensed Matter

Chemical understanding and utility of H3PO4 etching of group-III-nitrides

Maria Reiner et al.

PHYSICA STATUS SOLIDI B-BASIC SOLID STATE PHYSICS (2015)

Article Engineering, Electrical & Electronic

Effects of recess process and surface treatment on the threshold voltage of GaN MOSFETs fabricated on a AlGaN/GaN heterostructure

Qingpeng Wang et al.

SEMICONDUCTOR SCIENCE AND TECHNOLOGY (2015)

Article Materials Science, Multidisciplinary

Effect of Post-Deposition Annealing on the Interface Electronic Structures of Al2O3-Capped GaN and GaN/AlGaN/GaN Heterostructure

T. L. Duan et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2015)

Article Physics, Applied

A crystalline oxide passivation for Al2O3/AlGaN/GaN

Xiaoye Qin et al.

APPLIED PHYSICS LETTERS (2014)

Article Chemistry, Physical

Ion induced compositional changes and nanodroplet formation on GaN surface

Vinay Venugopal et al.

APPLIED SURFACE SCIENCE (2014)

Article Engineering, Electrical & Electronic

Al2O3/AlN/GaN MOS-Channel-HEMTs With an AlN Interfacial Layer

Shenghou Liu et al.

IEEE ELECTRON DEVICE LETTERS (2014)

Proceedings Paper Nanoscience & Nanotechnology

Comparison of the physical, chemical and electrical properties of ALD Al2O3 on c- and m-plane GaN

D. Wei et al.

PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 11, NO 3-4 (2014)

Article Engineering, Electrical & Electronic

An Etch-Stop Barrier Structure for GaN High-Electron-Mobility Transistors

Bin Lu et al.

IEEE ELECTRON DEVICE LETTERS (2013)

Article Engineering, Electrical & Electronic

Sidewall Dominated Characteristics on Fin-Gate AlGaN/GaN MOS-Channel-HEMTs

Shinya Takashima et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2013)

Article Materials Science, Coatings & Films

Gallium nitride MIS-HEMT using atomic layer deposited Al2O3 as gate dielectric

Richard Lossy et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2013)

Review Materials Science, Coatings & Films

Electronic surface and dielectric interface states on GaN and AlGaN

Brianna S. Eller et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2013)

Proceedings Paper Physics, Fluids & Plasmas

GaN MOSFET with Boron Trichloride-Based Dry Recess Process

Y. Jiang et al.

11TH APCPST (ASIA PACIFIC CONFERENCE ON PLASMA SCIENCE AND TECHNOLOGY) AND 25TH SPSM (SYMPOSIUM ON PLASMA SCIENCE FOR MATERIALS) (2013)

Proceedings Paper Crystallography

Impact of annealing on ALD Al2O3 gate dielectric for GaN MOS devices

Thomas Marron et al.

PHYSICA STATUS SOLIDI C: CURRENT TOPICS IN SOLID STATE PHYSICS, VOL 9, NO 3-4 (2012)

Article Physics, Applied

Assessment of GaN Surface Pretreatment for Atomic Layer Deposited High-k Dielectrics

Neeraj Nepal et al.

APPLIED PHYSICS EXPRESS (2011)

Article Chemistry, Physical

Characterization of Si-added aluminum oxide (AlSiO) films for power devices

Naoyoshi Komatsu et al.

APPLIED SURFACE SCIENCE (2010)

Article Chemistry, Physical

Comparative study of NH4OH and HCl etching behaviours on AlGaN surfaces

Rakesh Sohal et al.

APPLIED SURFACE SCIENCE (2010)

Article Materials Science, Coatings & Films

MD simulations of GaN sputtering by Ar+ ions: Ion-induced damage and near-surface modification under continuous bombardment

Emilie Despiau-Pujo et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2010)

Article Engineering, Electrical & Electronic

Over 100 A operation normally-off AlGaN/GaN hybrid MOS-HFET on Si substrate with high-breakdown voltage

Hiroshi Kambayashi et al.

SOLID-STATE ELECTRONICS (2010)

Article Engineering, Electrical & Electronic

GaN MOS Capacitors and FETs on Plasma-Etched GaN Surfaces

K. Tang et al.

JOURNAL OF ELECTRONIC MATERIALS (2009)

Article Engineering, Electrical & Electronic

Reactive ion etching of GaN in SF6 + Ar and SF6 + N2 plasma

T. Sreenidhi et al.

SEMICONDUCTOR SCIENCE AND TECHNOLOGY (2008)

Article Engineering, Electrical & Electronic

Practical surface treatments and surface chemistry of n-type and p-type GaN

J. J. Uhlrich et al.

JOURNAL OF ELECTRONIC MATERIALS (2008)

Review Physics, Applied

Band offsets of high K gate oxides on III-V semiconductors

J. Robertson et al.

JOURNAL OF APPLIED PHYSICS (2006)

Article Materials Science, Coatings & Films

Defect acceptor and donor in ion-bombarded GaN

M Petravic et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2005)

Review Physics, Applied

High dielectric constant oxides

J Robertson

EUROPEAN PHYSICAL JOURNAL-APPLIED PHYSICS (2004)

Article Engineering, Electrical & Electronic

Surface passivation of GaN and GaN/AlGaN heterostructures by dielectric films and its application to insulated-gate heterostructure transistors

T Hashizume et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2003)

Article Chemistry, Physical

Sputtering and etching of GaN surfaces

YH Lai et al.

JOURNAL OF PHYSICAL CHEMISTRY B (2001)

Article Engineering, Electrical & Electronic

Band offsets of wide-band-gap oxides and implications for future electronic devices

J Robertson

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2000)