4.7 Review

Approximation Opportunities in Edge Computing Hardware: A Systematic Literature Review

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Article Computer Science, Hardware & Architecture

Dynamic Onloading of Deep Neural Networks from Cloud to Device

Mario Almeida et al.

Summary: This article presents DynO, a distributed inference framework that combines cloud offloading and on-device execution to address the computational demands and bandwidth issues in mobile and embedded applications using CNNs. By utilizing a novel data packing method and scheduler, DynO outperforms other systems in terms of throughput and data transfer.

ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS (2022)

Article Computer Science, Hardware & Architecture

Energy efficient 3D network-on-chip based on approximate communication

M. Momeni et al.

Summary: The advancement in technology has led to high-performance parallel architectures in computing systems through the integration of multiple cores on a chip. Three-dimensional Network-on-Chips (3D NoCs) have been introduced as a promising architecture for communication in many-core systems, offering lower latency and area compared to 2D NoCs. However, the high power consumption of 3D routers in 3D NoCs may result in thermal challenges. The approximation method can be used to reduce congestion and latency in the network, especially in error-tolerant applications.

COMPUTER NETWORKS (2022)

Review Chemistry, Analytical

A Survey of Security in Cloud, Edge, and Fog Computing

Aleksandr Ometov et al.

Summary: This literature review examines the research interest in information security and privacy and the development of computing paradigms, and addresses the security and privacy challenges arising from their heterogeneity. The study identifies essential security and privacy threats in these paradigms and highlights the deployment techniques used to mitigate and enhance security and privacy shortcomings.

SENSORS (2022)

Article Computer Science, Hardware & Architecture

Approximate Adiabatic Logic for Low-Power and Secure Edge Computing

Wu Yang et al.

Summary: Approximate computing is a promising approach for reducing power consumption in error-tolerant applications running on Internet-of-Things edge devices. By applying adiabatic logic, energy efficiency can be further enhanced while increasing protection against side-channel attacks. This article presents two approximate adders based on adiabatic logic, which demonstrate the benefits of combining approximate computation with adiabatic logic. The proposed adders achieve significant power and energy savings compared to traditional accurate adders, as well as improved security against side-channel attacks.

IEEE CONSUMER ELECTRONICS MAGAZINE (2022)

Review Computer Science, Information Systems

The future of computing paradigms for medical and emergency applications

Daria Alekseeva et al.

Summary: Healthcare is crucial in everyone's life, and the development of Information and Communication Technologies (ICT) has provided opportunities to improve accessibility and effectiveness in the healthcare system. This paper focuses on computing solutions for medical use cases and provides a comprehensive survey on standardization, applicable computing paradigms, and security limitations.

COMPUTER SCIENCE REVIEW (2022)

Article Computer Science, Hardware & Architecture

Approximate Constant-Coefficient Multiplication Using Hybrid Binary-Unary Computing for FPGAs

S. Rasoul Faraji et al.

Summary: This paper proposes a novel low-cost, low-energy, high-speed approximate constant coefficient multiplier using a hybrid binary-unary encoding method. The proposed multiplier is implemented in the unary domain using simple routing networks, which results in more efficient performance compared to other methods. The evaluation results show that the proposed multiplier improves the performance of a 2-D discrete cosine transform algorithm and has fewer routability issues compared to binary implementations.

ACM TRANSACTIONS ON RECONFIGURABLE TECHNOLOGY AND SYSTEMS (2022)

Article Computer Science, Hardware & Architecture

Fast and High-Accuracy Approximate MAC Unit Design for CNN Computing

Hang Xiao et al.

Summary: The article discusses the significance of multiply and accumulate (MAC) in convolutional neural network accelerators and proposes an approximate MAC unit design that takes into account the statistical features of input data to achieve a balance between latency, power, and accuracy.

IEEE EMBEDDED SYSTEMS LETTERS (2022)

Article Engineering, Electrical & Electronic

Implementation of a Fuel Estimation Algorithm Using Approximated Computing

Imed Ben Dhaou

Summary: Rising concerns about global warming have led to actions to reduce greenhouse gas emissions, with the transportation sector being a major contributor. Eco-driving and eco-routing aim to improve fuel efficiency, and real-time fuel estimation plays a crucial role in these efforts.

JOURNAL OF LOW POWER ELECTRONICS AND APPLICATIONS (2022)

Article Computer Science, Hardware & Architecture

On Performance Optimization and Quality Control for Approximate-Communication-Enabled Networks-on-Chip

Siyuan Xiao et al.

Summary: Approximate computing is a new design paradigm that trades accuracy for performance and energy benefits. In this article, an optimization problem is formulated to maximize NoC performance while considering application quality requirements. A congestion-aware quality control method is proposed to improve system performance by dropping network data based on flow prediction and lightweight heuristics, leading to significant speedup in execution.

IEEE TRANSACTIONS ON COMPUTERS (2021)

Article Computer Science, Hardware & Architecture

Quantization aware approximate multiplier and hardware accelerator for edge computing of deep learning applications

K. Manikantta Reddy et al.

Summary: Approximate computing is an efficient design methodology that allows a slight loss in output accuracy to improve the performance and power-efficiency of digital systems. The proposed approximate radix-4 Booth multiplier and hardware accelerator demonstrated significant improvements in power consumption and performance for deep learning applications on power-restricted devices. Experimental results showed a reduction in power consumption by 34% and 40% for matrix-vector multiplication (MVM) and matrix-matrix multiplication (MMM) workloads, along with a substantial increase in performance compared to conventional designs.

INTEGRATION-THE VLSI JOURNAL (2021)

Article Engineering, Electrical & Electronic

Ultra-Compact Imprecise 4:2 Compressor and Multiplier Circuits for Approximate Computing in Deep Nanoscale

Ferdos Salmanpour et al.

Summary: This article introduces a hybrid approximate 4:2 compressor and an imprecise multiplier with high speed and low power consumption, achieving an excellent trade-off between energy efficiency and quality. Simulation results demonstrate the superiority of this approach in various performance parameters compared to state-of-the-art counterparts. The proposed designs significantly improve delay, power, PDP, EDP, and transistor count compared to other compressors and approximate multipliers.

CIRCUITS SYSTEMS AND SIGNAL PROCESSING (2021)

Article Computer Science, Hardware & Architecture

Security Threat Analyses and Attack Models for Approximate Computing Systems: From Hardware and Micro-architecture Perspectives

Pruthvy Yellu et al.

Summary: The study investigates the development of approximate computing, security threats, and their impact on AC systems, proposing four attack models and countermeasure design guidelines. Case studies are provided to demonstrate the implementation of the suggested countermeasures.

ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS (2021)

Article Engineering, Electrical & Electronic

An approximate-computing empowered green 6G downlink

Maryam Idrees et al.

Summary: Approximate computing (AC) is a promising technique for designing energy-efficient computing hardware by replacing accurate arithmetic units with their inexact counterparts. Research indicates that incorporating AC techniques in the 6G downlink can lead to up to 87% savings in dynamic power and maintain a very reasonable arithmetic accuracy.

PHYSICAL COMMUNICATION (2021)

Article Computer Science, Hardware & Architecture

A Survey on Wearable Technology: History, State-of-the-Art and Current Challenges

Aleksandr Ometov et al.

Summary: This paper provides an overview of the history and current state of the wearable device market, including a diverse classification and discussion on technologies, architectures, data processing, and market status. It also highlights existing and future solutions to critical challenges in wearable technology.

COMPUTER NETWORKS (2021)

Article Engineering, Electrical & Electronic

Precision Adaptive MFCC Based on R2SDF-FFT and Approximate Computing for Low-Power Speech Keywords Recognition

Bo Liu et al.

Summary: This paper introduces a system-architecture-circuits co-design for computing the MFCC feature extraction for speech keywords recognition. The trade-off between accuracy and power consumption under various background noises is achieved by using R2SDF-FFT and precision self-adaptive architecture, with the proposed approximate computing and Dual-Vdd to improve energy efficiency. The precision self-adaptive MFCC architecture dynamically configures calculation modes based on input speech background noise, reducing power consumption by up to 76.3% with a 0.8% increase in accuracy when implemented and evaluated under 22 nm technology.

IEEE CIRCUITS AND SYSTEMS MAGAZINE (2021)

Article Computer Science, Hardware & Architecture

Data clustering for efficient approximate computing

Michael G. Jordan et al.

DESIGN AUTOMATION FOR EMBEDDED SYSTEMS (2020)

Article Computer Science, Hardware & Architecture

Exploiting Data Resilience in Wireless Network-on-chip Architectures

Giuseppe Ascia et al.

ACM JOURNAL ON EMERGING TECHNOLOGIES IN COMPUTING SYSTEMS (2020)

Article Engineering, Electrical & Electronic

An Energy-Efficient and Approximate Accelerator Design for Real-Time Canny Edge Detection

Leonardo Bandeira Soares et al.

CIRCUITS SYSTEMS AND SIGNAL PROCESSING (2020)

Article Computer Science, Hardware & Architecture

Design of Approximate Booth Squarer for Error-Tolerant Computing

K. Manikantta Reddy et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2020)

Article Computer Science, Artificial Intelligence

A comprehensive survey on support vector machine classification: Applications, challenges and trends

Jair Cervantes et al.

NEUROCOMPUTING (2020)

Article Engineering, Electrical & Electronic

In-memory hyperdimensional computing

Geethan Karunaratne et al.

NATURE ELECTRONICS (2020)

Article Computer Science, Hardware & Architecture

X-CGRA: An Energy-Efficient Approximate Coarse-Grained Reconfigurable Architecture

Omid Akbari et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2020)

Article Computer Science, Hardware & Architecture

ADIC: Anomaly Detection Integrated Circuit in 65-nm CMOS Utilizing Approximate Computing

Bapi Kar et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2020)

Article Engineering, Electrical & Electronic

Efficient AI System Design With Cross-Layer Approximate Computing

Swagath Venkataramani et al.

PROCEEDINGS OF THE IEEE (2020)

Article Engineering, Electrical & Electronic

Approximate Logic Synthesis: A Survey

Ilaria Scarabottolo et al.

PROCEEDINGS OF THE IEEE (2020)

Article Engineering, Electrical & Electronic

Circuit-Level Techniques for Logic and Memory Blocks in Approximate Computing Systemsx

Saba Amanollahi et al.

PROCEEDINGS OF THE IEEE (2020)

Article Engineering, Electrical & Electronic

Security in Approximate Computing and Approximate Computing for Security: Challenges and Opportunities

Weiqiang Liu et al.

PROCEEDINGS OF THE IEEE (2020)

Article Engineering, Electrical & Electronic

Deep In-Memory Architectures in SRAM: An Analog Approach to Approximate Computing

Mingu Kang et al.

PROCEEDINGS OF THE IEEE (2020)

Article Computer Science, Information Systems

Approximate LSTM Computing for Energy-Efficient Speech Recognition

Junseo Jo et al.

ELECTRONICS (2020)

Article Engineering, Electrical & Electronic

A Probabilistic Prediction-Based Fixed-Width Booth Multiplier for Approximate Computing

Yajuan He et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2020)

Article Computer Science, Hardware & Architecture

Learning-Based Quality Management for Approximate Communication in Network-on-Chips

Yuechen Chen et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2020)

Article Engineering, Electrical & Electronic

Optimized VLSI Architecture of HEVC Fractional Pixel Interpolators with Approximate Computing

Stefania Preatto et al.

JOURNAL OF LOW POWER ELECTRONICS AND APPLICATIONS (2020)

Article Computer Science, Information Systems

Automated High-Level Generation of Low-Power Approximate Computing Circuits

Kumud Nepal et al.

IEEE TRANSACTIONS ON EMERGING TOPICS IN COMPUTING (2019)

Article Computer Science, Hardware & Architecture

All one needs to know about fog computing and related edge computing paradigms: A complete survey

Ashkan Yousefpour et al.

JOURNAL OF SYSTEMS ARCHITECTURE (2019)

Article Engineering, Electrical & Electronic

ARA: Cross-Layer approximate computing framework based reconfigurable architecture for CNNs

Yu Gong et al.

MICROELECTRONICS JOURNAL (2019)

Article Engineering, Electrical & Electronic

Self-compensating accelerators for efficient approximate computing

Sana Mazahir et al.

MICROELECTRONICS JOURNAL (2019)

Article Computer Science, Hardware & Architecture

mARGOt: A Dynamic Autotuning Framework for Self-Aware Approximate Computing

Davide Gadioli et al.

IEEE TRANSACTIONS ON COMPUTERS (2019)

Article Engineering, Electrical & Electronic

An energy-efficient voice activity detector using deep neural networks and approximate computing

Bo Liu et al.

MICROELECTRONICS JOURNAL (2019)

Article Engineering, Electrical & Electronic

m-SAAC: Multi-stage adaptive approximation control to select approximate computing modes for vision applications

Rida Amjad et al.

MICROELECTRONICS JOURNAL (2019)

Article Multidisciplinary Sciences

Towards spike-based machine intelligence with neuromorphic computing

Kaushik Roy et al.

NATURE (2019)

Article Engineering, Biomedical

Resource-Aware Distributed Epilepsy Monitoring Using Self-Awareness From Edge to Cloud

Farnaz Forooghifar et al.

IEEE TRANSACTIONS ON BIOMEDICAL CIRCUITS AND SYSTEMS (2019)

Article Computer Science, Hardware & Architecture

Enhancing Speculative Execution With Selective Approximate Computing

Bernard Nongpoh et al.

ACM TRANSACTIONS ON DESIGN AUTOMATION OF ELECTRONIC SYSTEMS (2019)

Article Computer Science, Information Systems

Integer-Value Encoding for Approximate On-Chip Communication

Amir Najafi et al.

IEEE ACCESS (2019)

Article Engineering, Electrical & Electronic

On the Effect of Approximate-Computing in Motion Estimation

Alberto Paltrinieri et al.

JOURNAL OF LOW POWER ELECTRONICS (2019)

Article Computer Science, Information Systems

Truncated SIMD Multiplier Architecture for Approximate Computing in Low-Power Programmable Processors

Roberto R. Osorio et al.

IEEE ACCESS (2019)

Proceedings Paper Computer Science, Theory & Methods

Approximate Communication Strategies for Energy-Efficient and High Performance NoC: Opportunities and Challenges

Md Farhadur Reza et al.

GLSVLSI '19 - PROCEEDINGS OF THE 2019 ON GREAT LAKES SYMPOSIUM ON VLSI (2019)

Proceedings Paper Computer Science, Theory & Methods

Crash Skipping: A Minimal-Cost Framework for Efficient Error Recovery in Approximate Computing Environments

Yan Verdeja Herms et al.

GLSVLSI '19 - PROCEEDINGS OF THE 2019 ON GREAT LAKES SYMPOSIUM ON VLSI (2019)

Article Engineering, Electrical & Electronic

An efficient majority-based compressor for approximate computing in the nano era

Mohammad Hossein Moaiyeri et al.

MICROSYSTEM TECHNOLOGIES-MICRO-AND NANOSYSTEMS-INFORMATION STORAGE AND PROCESSING SYSTEMS (2018)

Article Computer Science, Hardware & Architecture

Loihi: A Neuromorphic Manycore Processor with On-Chip Learning

Mike Davies et al.

IEEE MICRO (2018)

Article Computer Science, Hardware & Architecture

Approximate DCT Image Compression Using Inexact Computing

Haider A. F. Almurib et al.

IEEE TRANSACTIONS ON COMPUTERS (2018)

Article Engineering, Electrical & Electronic

Test and Reliability in Approximate Computing

Lorena Anghel et al.

JOURNAL OF ELECTRONIC TESTING-THEORY AND APPLICATIONS (2018)

Article Engineering, Electrical & Electronic

Energy Efficient Neural Computing: A Study of Cross-Layer Approximations

Syed Shakib Sarwar et al.

IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS (2018)

Article Computer Science, Hardware & Architecture

Toward Approximate Computing for Coarse-Grained Reconfigurable Architectures

Omid Akbari et al.

IEEE MICRO (2018)

Review Neurosciences

Deep Learning With Spiking Neurons: Opportunities and Challenges

Michael Pfeiffer et al.

FRONTIERS IN NEUROSCIENCE (2018)

Article Computer Science, Hardware & Architecture

Analysis and Design of Adders for Approximate Computing

Sunil Dutt et al.

ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS (2018)

Article Computer Science, Hardware & Architecture

Two Approximate Voting Schemes for Reliable Computing

Ke Chen et al.

IEEE TRANSACTIONS ON COMPUTERS (2017)

Article Computer Science, Hardware & Architecture

Design of Approximate Radix-4 Booth Multipliers for Error-Tolerant Computing

Weiqiang Liu et al.

IEEE TRANSACTIONS ON COMPUTERS (2017)

Article Computer Science, Hardware & Architecture

Approximate computing of two-variable numeric functions using multiplier-less gradients

Jochen Rust et al.

MICROPROCESSORS AND MICROSYSTEMS (2017)

Article Computer Science, Information Systems

A Power-Aware Digital Multilayer Perceptron Accelerator with On-Chip Training Based on Approximate Computing

Duckhwan Kim et al.

IEEE TRANSACTIONS ON EMERGING TOPICS IN COMPUTING (2017)

Article Computer Science, Hardware & Architecture

Challenging the Best HEVC Fractional Pixel FPGA Interpolators With Reconfigurable and Multifrequency Approximate Computing

Carlo Sau et al.

IEEE EMBEDDED SYSTEMS LETTERS (2017)

Proceedings Paper Computer Science, Hardware & Architecture

ProACt: A Processor for High Performance On-demand Approximate Computing

Arun Chandrasekharan et al.

PROCEEDINGS OF THE GREAT LAKES SYMPOSIUM ON VLSI 2017 (GLSVLSI' 17) (2017)

Article Computer Science, Theory & Methods

A Survey of Techniques for Approximate Computing

Sparsh Mittal

ACM COMPUTING SURVEYS (2016)

Article Computer Science, Hardware & Architecture

Low-Power FPGA Design Using Memoization-Based Approximate Computing

Sharad Sinha et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2016)

Review Computer Science, Information Systems

Edge Computing: Vision and Challenges

Weisong Shi et al.

IEEE INTERNET OF THINGS JOURNAL (2016)

Article Engineering, Electrical & Electronic

Array-Based Approximate Arithmetic Computing: A General Model and Applications to Multiplier and Squarer Design

Botang Shao et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2015)

Article Engineering, Electrical & Electronic

Result-Biased Distributed-Arithmetic-Based Filter Architectures for Approximately Computing the DWT

Maurizio Martina et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2015)

Article Computer Science, Hardware & Architecture

True North: Design and Tool Flow of a 65 mW 1 Million Neuron Programmable Neurosynaptic Chip

Filipp Akopyan et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2015)

Proceedings Paper Computer Science, Hardware & Architecture

Rumba: An Online Quality Management System for Approximate Computing

Daya S. Khudia et al.

2015 ACM/IEEE 42ND ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE (ISCA) (2015)

Proceedings Paper Computer Science, Artificial Intelligence

Controlled-Accuracy Approximation of Nonlinear Functions for Soft Computing Applications A high performance co-proccessor for intelligent embedded systems

Ines del Campo et al.

2015 IEEE SYMPOSIUM SERIES ON COMPUTATIONAL INTELLIGENCE (IEEE SSCI) (2015)

Proceedings Paper Computer Science, Information Systems

Approximate Computing in Chrominance Cache for Image/Video Processing

Fei Qiao et al.

2015 1ST IEEE INTERNATIONAL CONFERENCE ON MULTIMEDIA BIG DATA (BIGMM) (2015)

Article Computer Science, Hardware & Architecture

Exploiting Partially-Forgetful Memories for Approximate Computing

Majid Shoushtari et al.

IEEE EMBEDDED SYSTEMS LETTERS (2015)

Article Computer Science, Hardware & Architecture

Ten Years of Building Broken Chips: The Physics and Engineering of Inexact Computing

Krishna Palem et al.

ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS (2013)

Article Biotechnology & Applied Microbiology

What is a support vector machine?

William S. Noble

NATURE BIOTECHNOLOGY (2006)