4.6 Article

A Monolithic 3-Dimensional Static Random Access Memory Containing a Feedback Field Effect Transistor

期刊

MICROMACHINES
卷 13, 期 10, 页码 -

出版社

MDPI
DOI: 10.3390/mi13101625

关键词

monolithic 3-dimensional integrated; static random access memory; feedback field effect transistor; electrical coupling

资金

  1. Basic Science Research Program through NRF of Korea - Ministry of Education [NRF-2019R1A2C1085295]

向作者/读者索取更多资源

A monolithic three-dimensional integrated static random access memory with a feedback field effect transistor (M3D-FBFET-SRAM) was proposed. The electrical characteristics and operation of the FBFET were investigated, along with the electrical coupling between the top and bottom tier transistors. Non-turn-off characteristics were achieved by optimizing the doping profile, and two methods for compensating current level were suggested.
A monolithic three-dimensional integrated static random access memory containing a feedback field effect transistor (M3D-FBFET-SRAM) was proposed. The M3D-FBFET-SRAM cell consists of one metal oxide semiconductor field effect transistor (MOSFET) and one FBFET, and each transistor is located on the top tier and one on the bottom tier in a monolithic 3D integration, respectively. The electrical characteristics and operation of the NFBFET in the M3D-FBFET-SRAM cell were investigated using a TCAD simulator. For SRAM operation, the optimum doping profile of the NFBFET was used for non-turn-off characteristics. For the M3D-FBFET-SRAM cell, the operation of the SRAM and electrical coupling occurring between the top and bottom tier transistor were investigated. As the thickness of interlayer dielectric decreases, the reading 'ON' current decreases. To prevent performance degradation, two ways to compensate for current level were suggested.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.6
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据