4.5 Article

ReNo: novel switch architecture for reliability improvement of NoCs

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Article Computer Science, Hardware & Architecture

BiSuT: A NoC-Based Bit-Shuffling Technique for Multiple Permanent Faults Mitigation

Romain Mercier et al.

Summary: Fault tolerance is a significant research field, especially for faults in network-on-chip (NoC) systems. Existing fault-tolerant approaches have limitations in dealing with permanent faults in NoC routers. This study proposes a bit shuffling method (BiSuT) to reduce the impact of faults on data communications.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2022)

Article Computer Science, Hardware & Architecture

On-Fly-TOD: an efficient mechanism for crosstalk fault reduction in WNoC

Zahra Shirmohammadi et al.

Summary: The paper introduces a mechanism called On-Fly-TOD to address reliability challenges in the wired level of WNoC architecture. Through this mechanism, a balance between performance, power consumption, and reliability is achieved, and the architecture can scale appropriately with the increasing number of on-chip processing elements.

JOURNAL OF SUPERCOMPUTING (2021)

Article Engineering, Electrical & Electronic

AM3D: An accurate crosstalk probability modeling to predict channel delay in 3D ICs

Zahra Shirmoharnmadi et al.

MICROELECTRONICS RELIABILITY (2019)

Proceedings Paper Automation & Control Systems

High-performance, Energy-efficient, Fault-tolerant Network-on-Chip Design Using Reinforcement Learning

Ke Wang et al.

2019 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE) (2019)

Article Engineering, Electrical & Electronic

A Scalable Network-on-Chip Microprocessor With 2.5D Integrated Memory and Accelerator

Sai P. D. Manoj et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2017)

Article Computer Science, Hardware & Architecture

A low-overhead soft-hard fault-tolerant architecture, design and management scheme for reliable high-performance many-core 3D-NoC systems

Khanh N. Dang et al.

JOURNAL OF SUPERCOMPUTING (2017)

Article Computer Science, Hardware & Architecture

An efficient numerical-based crosstalk avoidance codec design for NoCs

Zahra Shirmohammadi et al.

MICROPROCESSORS AND MICROSYSTEMS (2017)

Article Computer Science, Hardware & Architecture

New Crosstalk Avoidance Codes Based on a Novel Pattern Classification

Feng Shi et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2013)

Article Engineering, Electrical & Electronic

Designing fault-tolerant network-on-chip router architecture

Ashkan Eghbal et al.

INTERNATIONAL JOURNAL OF ELECTRONICS (2010)

Article Computer Science, Hardware & Architecture

Efficient On-Chip Crosstalk Avoidance CODEC Design

Chunjie Duan et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2009)

Article Engineering, Electrical & Electronic

Reducing the effective coupling capacitance in buses using threshold voltage adjustment techniques

Maged Ghoneima et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2006)

Article Computer Science, Hardware & Architecture

Analysis of error recovery schemes for networks on chips

S Murali et al.

IEEE DESIGN & TEST OF COMPUTERS (2005)

Article Computer Science, Hardware & Architecture

Modeling and analysis of crosstalk noise in coupled RLC interconnects

K Agarwal et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2005)

Article Computer Science, Hardware & Architecture

Networks on chips: A new SoC paradigm

L Benini et al.

COMPUTER (2002)