4.7 Review

Evolution in Lithography Techniques: Microlithography to Nanolithography

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Article Chemistry, Multidisciplinary

Fabrication of Efficient Single-Emitter Plasmonic Patch Antennas by Deterministic In Situ Optical Lithography using Spatially Modulated Light

Amit R. Dhawan et al.

Summary: Single-emitter plasmonic patch antennas are room-temperature deterministic single-photon sources that exhibit highly accelerated and directed single-photon emission. The deterministic room-temperature in situ optical lithography protocol is used to position the plasmonic structure nondestructively on any selected single-emitter with 3D nanoscale control. The presented antenna induces a 1000-fold effective increase in the absorption cross-section and shows nonlinearly enhanced emission under high pumping.

ADVANCED MATERIALS (2022)

Review Physics, Applied

Development of a massively parallel electron beam write (MPEBW) system: aiming for the digital fabrication of integrated circuits

Masayoshi Esashi et al.

Summary: A prototype of a massively parallel electron beam write system has been developed for maskless lithography. The system includes a nanocrystalline silicon electron emitter array and an active matrix-driving integrated device. The electron beams from the array are focused onto the wafer using electron optics. The operations of the array and the driving device were confirmed in a test system.

JAPANESE JOURNAL OF APPLIED PHYSICS (2022)

Article Engineering, Electrical & Electronic

Limitations of optical lithography on non-planar surfaces

Agnieszka Zawadzka et al.

Summary: This paper examines and describes the technological factors that influence the shape and geometry of lithography structures on structured surfaces. Numerical simulations using the COMSOL Multiphysics software tool were performed to evaluate the limitations of fabrication on non-planar surfaces. The influence of different lithography system parameters on the electric field intensity distribution was examined.

MATERIALS SCIENCE IN SEMICONDUCTOR PROCESSING (2022)

Article Engineering, Multidisciplinary

High-aspect nano-groove fabrication in thick film resists using 150-kV high acceleration voltage electron beam lithography

Tatsuki Sugihara et al.

Summary: This study investigates the application of high acceleration voltage (150 kV) electron beam lithography on two types of thick film resists with different contrasts (gamma). It is found that the cross-sectional shape with the smallest width discrepancy (35 nm) is observed at 150 kV for the 1.6 μm thick high-gamma resist, which is almost vertical. However, at 50 kV, the discrepancy is more than four times greater than that at 150 kV, and the cross-sections are drop shaped. The maximum line widths are similar for both resists, while the top line widths are smaller for the high-gamma resist than for the low-gamma resist. Additionally, the Monte Carlo simulation results agree well with the experimental values, especially at 150 kV.

PRECISION ENGINEERING-JOURNAL OF THE INTERNATIONAL SOCIETIES FOR PRECISION ENGINEERING AND NANOTECHNOLOGY (2022)

Article Materials Science, Multidisciplinary

A New Tool for Automation of Focused Ion Beam Bitmap Milling of Two-and Three-Dimensional Micro and Nanostructures.

Jairo Narro et al.

MICROSCOPY AND MICROANALYSIS (2022)

Article Optics

Refined extreme ultraviolet mask stack model

I. A. Makhotkin et al.

Summary: A refined model of an extreme ultraviolet (EUV) mask stack has been developed for accurate simulations of high-NA EUV photo-lithography imaging by analyzing industry-representative mask blank's EUV and x-ray reflectivity. The model, derived from high-resolution analysis, provides a more accurate description of reflectivity through a free-form analysis procedure. Additionally, a low-resolution model with thicker sublayers was derived to reduce numerical effort for EUV imaging simulations.

JOURNAL OF THE OPTICAL SOCIETY OF AMERICA A-OPTICS IMAGE SCIENCE AND VISION (2021)

Article Optics

Moire-based sub-nano misalignment sensing via deep learning for lithography

Nan Wang et al.

Summary: Misalignment measurement is a fundamental and challenging issue in optical lithography. A deep learning-based strategy can achieve sub-nanoscale accuracy and is more robust compared to existing measurement strategies.

OPTICS AND LASERS IN ENGINEERING (2021)

Article Microscopy

A new type of nanoscale reference grating manufactured by combined laser-focused atomic deposition and x-ray interference lithography and its use for calibrating a scanning electron microscope

Xiao Deng et al.

Summary: This paper introduces a new type of grating sample for calibrating magnification and nonlinearity of SEM, showing outstanding pattern uniformity and high accuracy. The research demonstrates that the new sample outperforms conventional samples and meets the high accuracy requirements of modern nanoelectronics devices and systems.

ULTRAMICROSCOPY (2021)

Article Materials Science, Multidisciplinary

Functionalization of microfluidic devices by microstructures created with proton beam lithography

Emad Nady et al.

Summary: A new fabrication approach integrating PDMS microstructures into PDMS microdevices for passive mixing was introduced, involving computer simulation, optimization, and analysis of the mixing efficiency.

VACUUM (2021)

Article Engineering, Electrical & Electronic

Characterization of Ru4-xTax (x = 1,2,3) alloy as material candidate for EUV low-n mask

Meiyi Wu et al.

Summary: Ru4-xTax alloys are studied for EUV low-n mask absorber candidates, with reports on their morphology, surface roughness, and chemical composition for theoretical model building. Refractive indices are reconstructed by optimizing measured EUV reflectivity data. Stability assessments and etching exploration are conducted to ensure long lifetime and functionality in working environment.

MICRO AND NANO ENGINEERING (2021)

Article Instruments & Instrumentation

The upgraded ISOLDE yield database - A new tool to predict beam intensities

J. Ballof et al.

NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS (2020)

Article Nanoscience & Nanotechnology

Key Role of Very Low Energy Electrons in Tin-Based Molecular Resists for Extreme Ultraviolet Nanolithography

Ivan Bespalov et al.

ACS APPLIED MATERIALS & INTERFACES (2020)

Article Nanoscience & Nanotechnology

Launching a new dimension with 3D magnetic nanostructures

Peter Fischer et al.

APL MATERIALS (2020)

Review Chemistry, Physical

Writing 3D Nanomagnets Using Focused Electron Beams

Amalio Fernandez-Pacheco et al.

MATERIALS (2020)

Article Materials Science, Multidisciplinary

Effect of molecular weight on the EUV-printability of main chain scission type polymers

Ashish Rathore et al.

JOURNAL OF MATERIALS CHEMISTRY C (2020)

Article Physics, Applied

3D nanoprinting via focused electron beams

R. Winkler et al.

JOURNAL OF APPLIED PHYSICS (2019)

Article Engineering, Electrical & Electronic

Stability studies on a sensitive EUV photoresist based on zinc metal oxoclusters

Neha Thakur et al.

JOURNAL OF MICRO-NANOLITHOGRAPHY MEMS AND MOEMS (2019)

Article Engineering, Electrical & Electronic

Effects of abnormal beams on writing qualities in massively-parallel e-beam systems

Md Nabid Hasan et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2019)

Article Engineering, Electrical & Electronic

Next generation of extreme-resolution electron beam lithography

John N. Randall et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2019)

Article Engineering, Electrical & Electronic

Organic ice resists for 3D electron-beam processing: Instrumentation and operation

William Tiddi et al.

MICROELECTRONIC ENGINEERING (2018)

Article Engineering, Electrical & Electronic

Sub-10 nm electron and helium ion beam lithography using a recently developed alumina resist

Andrea Cattoni et al.

MICROELECTRONIC ENGINEERING (2018)

Article Chemistry, Multidisciplinary

Three-Dimensional in Situ Electron-Beam Lithography Using Water Ice

Yu Hong et al.

NANO LETTERS (2018)

Article Polymer Science

Role of Metal Sensitizers for Sensitivity Improvement in EUV Chemically Amplified Resist

Hiroki Yamamoto et al.

JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY (2018)

Article Physics, Applied

Progress and process improvements for multiple electron-beam direct write

Isabelle Servin et al.

JAPANESE JOURNAL OF APPLIED PHYSICS (2017)

Article Engineering, Electrical & Electronic

New alignment mark designs in single patterning and self-aligned double patterning

Libin Zhang et al.

MICROELECTRONIC ENGINEERING (2017)

Article Instruments & Instrumentation

Micro-structuring of epoxy resists containing nanoparticles by proton beam writing

Ryo Sano et al.

NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS (2017)

Review Multidisciplinary Sciences

Three-dimensional nanomagnetism

Amalio Fernandez-Pacheco et al.

NATURE COMMUNICATIONS (2017)

Proceedings Paper Materials Science, Multidisciplinary

Helium ion beam lithography (HIBL) using HafSOx as the resist

Feixiang Luo et al.

ADVANCES IN PATTERNING MATERIALS AND PROCESSES XXXIII (2016)

Article Physics, Applied

X-ray grating interferometry at photon energies over 180 keV

M. Ruiz-Yaniz et al.

APPLIED PHYSICS LETTERS (2015)

Article Engineering, Electrical & Electronic

Interference lithography at EUV and soft X-ray wavelengths: Principles, methods, and applications

Nassir Mojarad et al.

MICROELECTRONIC ENGINEERING (2015)

Article Engineering, Electrical & Electronic

Highly robust electron beam lithography lift-off process using chemically amplified positive tone resist and PEDOT:PSS as a protective coating

Johannes Kofler et al.

JOURNAL OF MICROMECHANICS AND MICROENGINEERING (2014)

Article Engineering, Electrical & Electronic

Resist evaluation for proton beam writing, Ni mold fabrication and nano-replication

Y. H. Wang et al.

MICROSYSTEM TECHNOLOGIES-MICRO-AND NANOSYSTEMS-INFORMATION STORAGE AND PROCESSING SYSTEMS (2014)

Article Instruments & Instrumentation

Fabrication of fine imaging devices using an external proton microbeam

T. Sakai et al.

NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS (2014)

Article Polymer Science

C60-containing polymers for electron beam lithography

Haruyuki Okamura et al.

POLYMER BULLETIN (2014)

Article Materials Science, Multidisciplinary

Towards novel non-chemically amplified (n-CARS) negative resists for electron beam lithography applications

Vikram Singh et al.

JOURNAL OF MATERIALS CHEMISTRY C (2014)

Article Materials Science, Multidisciplinary

Water soluble and metal-containing electron beam resist poly(sodium 4-styrenesulfonate)

Arwa Saud Abbas et al.

MATERIALS RESEARCH EXPRESS (2014)

Article Physics, Applied

Study of Development Processes for ZEP-520 as a High-Resolution Positive and Negative Tone Electron Beam Lithography Resist

Mohammad Ali Mohammad et al.

JAPANESE JOURNAL OF APPLIED PHYSICS (2013)

Article Chemistry, Multidisciplinary

Sub-10-nm-resolution electron-beam lithography toward very-high-density multilevel 3D nano-magnetic information devices

Beomseop Lee et al.

JOURNAL OF NANOPARTICLE RESEARCH (2013)

Article Engineering, Electrical & Electronic

Sub-25 nm direct write (maskless) X-ray nanolithography

Adam F. G. Leontowich et al.

MICROELECTRONIC ENGINEERING (2013)

Article Materials Science, Multidisciplinary

Ultrasensitive non-chemically amplified low-contrast negative electron beam lithography resist with dual-tone behaviour

Victor Canalejas-Tejero et al.

JOURNAL OF MATERIALS CHEMISTRY C (2013)

Review Chemistry, Physical

Semiconductor Nanowire Fabrication by Bottom-Up and Top-Down Paradigms

Richard G. Hobbs et al.

CHEMISTRY OF MATERIALS (2012)

Proceedings Paper Optics

EUV resist performance: current assessment for sub-22 nm half-pitch patterning on NXE:3300

T. Wallow et al.

EXTREME ULTRAVIOLET (EUV) LITHOGRAPHY III (2012)

Proceedings Paper Materials Science, Multidisciplinary

Investigation of acid diffusion during laser spike annealing with systematically designed photoacid generators

Marie Krysak et al.

ADVANCES IN RESIST MATERIALS AND PROCESSING TECHNOLOGY XXIX (2012)

Article Engineering, Electrical & Electronic

Room-Temperature Operation of Silicon Single-Electron Transistor Fabricated Using Optical Lithography

Yongshun Sun et al.

IEEE TRANSACTIONS ON NANOTECHNOLOGY (2011)

Article Instruments & Instrumentation

Proton beam writing of microstructures in Agar gel for patterned cell growth

Wolfgang Larisch et al.

NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS (2011)

Article Instruments & Instrumentation

3D-structures with arbitrary shapes created in negative resists by grayscale proton beam writing

F. Menzel et al.

NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS (2011)

Article Instruments & Instrumentation

Creation of 3D microsculptures in PMMA by multiple angle proton irradiation

T. Andrea et al.

NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS (2011)

Article Biochemical Research Methods

E-beam lithography for micro-/nanofabrication

Matteo Altissimo

BIOMICROFLUIDICS (2010)

Review Chemistry, Multidisciplinary

Advances in Patterning Materials for 193 nm Immersion Lithography

Daniel P. Sanders

CHEMICAL REVIEWS (2010)

News Item Optics

EUV LITHOGRAPHY Lithography gets extreme

Christian Wagner et al.

NATURE PHOTONICS (2010)

Article Polymer Science

Kinetics, Chemical Modeling and Lithography of Novel Acid Amplifiers for Use in EUV Photoresists

Robert Brainard et al.

JOURNAL OF PHOTOPOLYMER SCIENCE AND TECHNOLOGY (2009)

Article Engineering, Electrical & Electronic

Sub-10-nm nanolithography with a scanning helium beam

Vadim Sidorkin et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2009)

Article Engineering, Electrical & Electronic

Fabrication and evaluation of 3D-electric micro filters using proton beam writing

Yusuke Furuta et al.

MICROELECTRONIC ENGINEERING (2009)

Article Engineering, Electrical & Electronic

High resolution, high sensitivity inorganic resists

Jason Stowers et al.

MICROELECTRONIC ENGINEERING (2009)

Review Neurosciences

Nanotechnological applications for the treatment of neurodegenerative disorders

Girish Modi et al.

PROGRESS IN NEUROBIOLOGY (2009)

Review Oncology

Tumour-targeted nanomedicines: principles and practice

T. Lammers et al.

BRITISH JOURNAL OF CANCER (2008)

Article Physics, Applied

Realization and Simulation of High-Aspect-Ratio Micro/Nanostructures by Proton Beam Writing

Evangelos Valamontes et al.

JAPANESE JOURNAL OF APPLIED PHYSICS (2008)

Article Engineering, Electrical & Electronic

Aqueous base developable: easy stripping, high aspect ratio negative photoresist for optical and proton beam lithography

M. Chatzichristidi et al.

MICROSYSTEM TECHNOLOGIES-MICRO-AND NANOSYSTEMS-INFORMATION STORAGE AND PROCESSING SYSTEMS (2008)

Article Engineering, Electrical & Electronic

Ni electroplating on a resist micro-machined by proton beam writing

Naoyuki Uchiya et al.

MICROSYSTEM TECHNOLOGIES-MICRO-AND NANOSYSTEMS-INFORMATION STORAGE AND PROCESSING SYSTEMS (2008)

Review Biotechnology & Applied Microbiology

Nanoparticle therapeutics: an emerging treatment modality for cancer

Mark E. Davis et al.

NATURE REVIEWS DRUG DISCOVERY (2008)

Article Engineering, Electrical & Electronic

Lithography and other patterning techniques for future electronics

R. Fabian Pease et al.

PROCEEDINGS OF THE IEEE (2008)

Review Nanoscience & Nanotechnology

Nanocarriers as an emerging platform for cancer therapy

Dan Peer et al.

NATURE NANOTECHNOLOGY (2007)

Review Engineering, Electrical & Electronic

Extreme ultraviolet lithography: A review

Banqiu Wua et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2007)

Review Polymer Science

Nanomedicine in the diagnosis and therapy of neuro degenerative disorders

A. V. Kabanov et al.

PROGRESS IN POLYMER SCIENCE (2007)

Article Instruments & Instrumentation

Proton beam writing of submicrometer structures at LIPSION

F. Menzel et al.

NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS (2007)

Review Engineering, Electrical & Electronic

Trends and frontiers of MEMS

Wen H. Ko

SENSORS AND ACTUATORS A-PHYSICAL (2007)

Review Nanoscience & Nanotechnology

ION BEAM LITHOGRAPHY AND NANOFABRICATION: A REVIEW

F. Watt et al.

INTERNATIONAL JOURNAL OF NANOSCIENCE (2005)

Review Chemistry, Multidisciplinary

New approaches to nanofabrication: Molding, printing, and other techniques

BD Gates et al.

CHEMICAL REVIEWS (2005)

Review Engineering, Electrical & Electronic

Recent developments in micromilling using focused ion beam technology

AA Tseng

JOURNAL OF MICROMECHANICS AND MICROENGINEERING (2004)

Article Physics, Applied

Three-dimensional nanolithography using proton beam writing

JA van Kan et al.

APPLIED PHYSICS LETTERS (2003)

Letter Engineering, Electrical & Electronic

High-resolution pattern generation using the epoxy novolak SU-8 2000 resist by electron beam lithography

M Aktary et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2003)

Article Engineering, Electrical & Electronic

Ion projection lithography below 70 nm:: tool performance and resist process

S Hirscher et al.

MICROELECTRONIC ENGINEERING (2002)

Article Engineering, Electrical & Electronic

Batch-processed vacuum-sealed capacitive pressure sensors

AV Chavan et al.

JOURNAL OF MICROELECTROMECHANICAL SYSTEMS (2001)

Article Materials Science, Multidisciplinary

Patterning of diamond and amorphous carbon films using focused ion beams

A Stanishevsky

THIN SOLID FILMS (2001)

Article Engineering, Electrical & Electronic

Review of progress in extreme ultraviolet lithography masks

S Hector et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2001)

Article Engineering, Electrical & Electronic

At-wavelength extreme ultraviolet lithography mask inspection using a Mirau interferometric microscope

T Haga et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2000)