4.6 Article

Low-Temperature Cu/SiO2 Hybrid Bonding with Low Contact Resistance Using (111)-Oriented Cu Surfaces

期刊

MATERIALS
卷 15, 期 5, 页码 -

出版社

MDPI
DOI: 10.3390/ma15051888

关键词

Cu; SiO2 hybrid bonding; highly (111)-nanotwinned Cu; low temperature bonding; microelectronic packaging

向作者/读者索取更多资源

In this study, low-temperature and low-pressure Cu/SiO2 hybrid bonding were achieved using (111)-oriented Cu with high surface diffusivity. Array of Cu vias with 78% (111) surface grains were fabricated through electroplating. The bonding process was done at a temperature as low as 200 degrees C and pressure of 1.06 MPa. The results showed that the specific contact resistance of the joints was 1.2 x 10(-9) omega center dot cm(2), the lowest reported for Cu-Cu joints bonded below 300 degrees C. The joints also exhibited excellent thermal stability up to 375 degrees C. The bonding mechanism was also explained to provide further understanding.
We adopted (111)-oriented Cu with high surface diffusivity to achieve low-temperature and low-pressure Cu/SiO2 hybrid bonding. Electroplating was employed to fabricate arrays of Cu vias with 78% (111) surface grains. The bonding temperature can be lowered to 200 degrees C, and the pressure is as low as 1.06 MPa. The bonding process can be accomplished by a 12-inch wafer-to-wafer scheme. The measured specific contact resistance is 1.2 x 10(-9) omega center dot cm(2), which is the lowest value reported in related literature for Cu-Cu joints bonded below 300 degrees C. The joints possess excellent thermal stability up to 375 degrees C. The bonding mechanism is also presented to provide more understanding on hybrid bonding.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.6
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据