4.6 Article

WL-WD: Wear-Leveling Solution to Mitigate Write Disturbance Errors for Phase-Change Memory

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Proceedings Paper Computer Science, Information Systems

A Last-Level Cache Management for Enhancing Endurance of Phase Change Memory

Won Jun Lee et al.

Summary: This paper proposes a last-level cache (LLC) management algorithm to improve PCM's lifetime by evicting dirty blocks evenly to the PCM, resulting in a 35% longer lifetime improvement without any PCM modification.

2021 36TH INTERNATIONAL TECHNICAL CONFERENCE ON CIRCUITS/SYSTEMS, COMPUTERS AND COMMUNICATIONS (ITC-CSCC) (2021)

Proceedings Paper Computer Science, Hardware & Architecture

ESD-PCM: Constructing Reliable Super Dense Phase Change Memory Under Write Disturbance

Wenke Jin et al.

Summary: ESD-PCM is proposed to mitigate WD errors in super dense PCM by improving Shared ECP based Correction, Data Comparison based Read, and Wear Leveling based (N:M)-Alloc methods. Compared to basic VnC scheme, ESD-PCM reduces overhead and energy consumption by 13.7% and 14.5%, effectively reducing the probability of WD, enhancing data reliability and improving system performance.

2021 IEEE EUROPEAN TEST SYMPOSIUM (ETS 2021) (2021)

Article Computer Science, Hardware & Architecture

Sparse-Insertion Write Cache to Mitigate Write Disturbance Errors in Phase Change Memory

Jaemin Jang et al.

IEEE TRANSACTIONS ON COMPUTERS (2019)

Article Engineering, Electrical & Electronic

A-CACHE: Alternating Cache Allocation to Conduct Higher Endurance in NVM-Based Caches

Hamed Farbeh et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS (2019)

Article Multidisciplinary Sciences

Memory hierarchy characterization of SPEC CPU2006 and SPEC CPU2017 on the Intel Xeon Skylake-SP

Agustin Navarro-Torres et al.

PLOS ONE (2019)

Article Computer Science, Hardware & Architecture

HAD-TWL: Hot Address Detection-Based Wear Leveling for Phase-Change Memory Systems with Low Latency

Sunwoong Kim et al.

IEEE COMPUTER ARCHITECTURE LETTERS (2019)

Article Computer Science, Hardware & Architecture

DC-PCM: Mitigating PCM Write Disturbance with Low Performance Overhead by Using Detection Cells

Jungwhan Choi et al.

IEEE TRANSACTIONS ON COMPUTERS (2019)

Article Computer Science, Hardware & Architecture

Integration and Boost of a Read-Modify-Write Module in Phase Change Memory System

Hyokeun Lee et al.

IEEE TRANSACTIONS ON COMPUTERS (2019)

Proceedings Paper Computer Science, Theory & Methods

Endurance Enhancement of Write-Optimized STT-RAM Caches

Puneet Saraf et al.

MEMSYS 2019: PROCEEDINGS OF THE INTERNATIONAL SYMPOSIUM ON MEMORY SYSTEMS (2019)

Article Computer Science, Hardware & Architecture

CACF: A Novel Circuit Architecture Co-optimization Framework for Improving Performance, Reliability and Energy of ReRAM-based Main Memory System

Yang Zhang et al.

ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION (2018)

Article Computer Science, Hardware & Architecture

Decongest: Accelerating Super-Dense PCM Under Write Disturbance by Hot Page Remapping

Rujia Wang et al.

IEEE COMPUTER ARCHITECTURE LETTERS (2017)

Article Computer Science, Hardware & Architecture

Floating-ECC: Dynamic Repositioning of Error Correcting Code Bits for Extending the Lifetime of STT-RAM Caches

Hamed Farbeh et al.

IEEE TRANSACTIONS ON COMPUTERS (2016)

Article Computer Science, Hardware & Architecture

NVMain 2.0: A User-Friendly Memory Simulator to Model (Non-) Volatile Memory Systems

Matthew Poremba et al.

IEEE COMPUTER ARCHITECTURE LETTERS (2015)

Article Computer Science, Software Engineering

SD-PCM Constructing Reliable Super Dense Phase Change Memory under Write Disturbance

Rujia Wang et al.

ACM SIGPLAN NOTICES (2015)

Proceedings Paper Computer Science, Hardware & Architecture

Mitigating Write Disturbance in Super Dense Phase Change Memories

Lei Jiang et al.

2014 44TH ANNUAL IEEE/IFIP INTERNATIONAL CONFERENCE ON DEPENDABLE SYSTEMS AND NETWORKS (DSN) (2014)

Article Engineering, Electrical & Electronic

Phase Change Memory

H. -S. Philip Wong et al.

PROCEEDINGS OF THE IEEE (2010)

Article Engineering, Electrical & Electronic

Reliability Impact of Chalcogenide-Structure Relaxation in Phase-Change Memory (PCM) Cells-Part I: Experimental Study

Daniele Ielmini et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2009)

Article Engineering, Electrical & Electronic

Modeling of programming and read performance in phase-change memories - Part II: Program disturb and mixed-scaling approach

Ugo Russo et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2008)