4.4 Article

Discharge physics and atomic layer etching in Ar/C4F6 inductively coupled plasmas with a radio frequency bias

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Review Materials Science, Coatings & Films

Etch selectivity during plasma-assisted etching of SiO2 and SiNx: Transitioning from reactive ion etching to atomic layer etching

Ryan J. Gasvoda et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2020)

Article Physics, Applied

Precise ion energy control with tailored waveform biasing for atomic scale processing

Tahsin Faraz et al.

JOURNAL OF APPLIED PHYSICS (2020)

Article Materials Science, Coatings & Films

Atomic layer germanium etching for 3D Fin-FET using chlorine neutral beam

Daisuke Ohori et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2019)

Article Physics, Applied

Electron energy distribution modification by RF bias in Ar/SF6 inductively coupled plasmas

Hyo-Chang Lee et al.

APPLIED PHYSICS LETTERS (2019)

Article Nanoscience & Nanotechnology

Atomic layer etching of chrome using ion beams

Jin Woo Park et al.

NANOTECHNOLOGY (2019)

Article Materials Science, Coatings & Films

Molecular dynamics simulation of Si and SiO2 reactive ion etching by fluorine-rich ion species

Erin Joy Capdos Tinacba et al.

SURFACE & COATINGS TECHNOLOGY (2019)

Article Physics, Fluids & Plasmas

Voltage waveform tailoring in radio frequency plasmas for surface charge neutralization inside etch trenches

Florian Krueger et al.

PLASMA SOURCES SCIENCE & TECHNOLOGY (2019)

Article Physics, Applied

Consequences of atomic layer etching on wafer scale uniformity in inductively coupled plasmas

Chad M. Huard et al.

JOURNAL OF PHYSICS D-APPLIED PHYSICS (2018)

Article Materials Science, Coatings & Films

Quasi atomic layer etching of SiO2 using plasma fluorination for surface cleaning

Kyongbeom Koh et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2018)

Article Materials Science, Coatings & Films

Helium plasma modification of Si and Si3N4 thin films for advanced etch processes

Vahagn Martirosyan et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2018)

Article Chemistry, Physical

Atomic Layer Etching: Rethinking the Art of Etch

Keren J. Kanarik et al.

JOURNAL OF PHYSICAL CHEMISTRY LETTERS (2018)

Article Materials Science, Coatings & Films

Rapid atomic layer etching of Al2O3 using sequential exposures of hydrogen fluoride and trimethylaluminum with no purging

David R. Zywotko et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2018)

Article Materials Science, Coatings & Films

Atomic layer etching of SiO2 by alternating an O2 plasma with fluorocarbon film deposition

Takayoshi Tsutsumi et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2017)

Article Materials Science, Coatings & Films

Predicting synergy in atomic layer etching

Keren J. Kanarik et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2017)

Article Engineering, Electrical & Electronic

Bottom profile degradation mechanism in high aspect ratio feature etching based on pattern transfer observation

Nobuyuki Negishi et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2017)

Article Nanoscience & Nanotechnology

Surface Phenomena During Plasma-Assisted Atomic Layer Etching of SiO2

Ryan J. Gasvoda et al.

ACS APPLIED MATERIALS & INTERFACES (2017)

Article Physics, Applied

Atomic layer etching of silicon dioxide using alternating C4F8 and energetic Ar+ plasma beams

Sanbir S. Kaler et al.

JOURNAL OF PHYSICS D-APPLIED PHYSICS (2017)

Article Physics, Applied

Evolution of electron temperature in inductively coupled plasma

Hyo-Chang Lee et al.

APPLIED PHYSICS LETTERS (2017)

Article Materials Science, Coatings & Films

Fluorocarbon based atomic layer etching of Si3N4 and etching selectivity of SiO2 over Si3N4

Chen Li et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2016)

Article Physics, Fluids & Plasmas

Discharge dynamics and plasma density recovery by on/off switches of additional gas

Hyo-Chang Lee et al.

PHYSICS OF PLASMAS (2016)

Review Materials Science, Coatings & Films

Photochemical atomic layer deposition and etching

P. R. Chalker

SURFACE & COATINGS TECHNOLOGY (2016)

Article Physics, Applied

Bulk plasma fragmentation in a C4F8 inductively coupled plasma: A hybrid modeling study

Shu-Xia Zhao et al.

JOURNAL OF APPLIED PHYSICS (2015)

Article Materials Science, Coatings & Films

Fluid simulation of the bias effect in inductive/capacitive discharges

Yu-Ru Zhang et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2015)

Article Materials Science, Coatings & Films

Study on contact distortion during high aspect ratio contact SiO2 etching

Jong Kyu Kim et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2015)

Review Materials Science, Coatings & Films

Overview of atomic layer etching in the semiconductor industry

Keren J. Kanarik et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2015)

Article Physics, Fluids & Plasmas

Customized ion flux-energy distribution functions in capacitively coupled plasmas by voltage waveform tailoring

E. Schuengel et al.

PLASMA SOURCES SCIENCE & TECHNOLOGY (2015)

Article Physics, Fluids & Plasmas

Electron heating and control of electron energy distribution for the enhancement of the plasma ashing processing

Hyo-Chang Lee et al.

PLASMA SOURCES SCIENCE & TECHNOLOGY (2015)

Article Instruments & Instrumentation

Power supply and impedance matching to drive technological radio-frequency plasmas with customized voltage waveforms

James Franek et al.

REVIEW OF SCIENTIFIC INSTRUMENTS (2015)

Article Materials Science, Multidisciplinary

Atomic Layer Etching at the Tipping Point: An Overview

G. S. Oehrlein et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2015)

Article Physics, Fluids & Plasmas

E-H heating mode transition in inductive discharges with different antenna sizes

Hyo-Chang Lee et al.

PHYSICS OF PLASMAS (2015)

Article Physics, Multidisciplinary

Determination of the electron collision cross-section set for the C4F6 molecule by using an electron swarm study

Phan-Thi Lan et al.

JOURNAL OF THE KOREAN PHYSICAL SOCIETY (2014)

Article Materials Science, Coatings & Films

Fluorocarbon assisted atomic layer etching of SiO2 using cyclic Ar/C4F8 plasma

Dominik Metzler et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2014)

Article Physics, Fluids & Plasmas

Control of electron energy distribution by adding a pulse inductive field in capacitive discharge

Hyo-Chang Lee et al.

PLASMA SOURCES SCIENCE & TECHNOLOGY (2014)

Article Physics, Applied

Transparent and flexible amorphous In-Si-O films for flexible organic solar cells

Hye-Min Lee et al.

APPLIED PHYSICS LETTERS (2013)

Review Materials Science, Coatings & Films

Plasma etching: Yesterday, today, and tomorrow

Vincent M. Donnelly et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2013)

Article Physics, Fluids & Plasmas

Electron heating and control of ion properties in capacitive discharges driven by customized voltage waveforms

A. Derzsi et al.

PLASMA SOURCES SCIENCE & TECHNOLOGY (2013)

Article Physics, Applied

Collisionless electron heating by radio frequency bias in low gas pressure inductive discharge

Hyo-Chang Lee et al.

APPLIED PHYSICS LETTERS (2012)

Article Physics, Applied

Coupling effects in inductive discharges with radio frequency substrate biasing

J. Schulze et al.

APPLIED PHYSICS LETTERS (2012)

Article Instruments & Instrumentation

How surface roughness affects the angular dependence of the sputtering yield

A. Hu et al.

NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION B-BEAM INTERACTIONS WITH MATERIALS AND ATOMS (2012)

Article Physics, Fluids & Plasmas

Experimental observation of the skin effect on plasma uniformity in inductively coupled plasmas with a radio frequency bias

Hyo-Chang Lee et al.

PLASMA SOURCES SCIENCE & TECHNOLOGY (2012)

Article Materials Science, Multidisciplinary

E-H mode transition in inductively coupled plasma using Ar, O2, N2, and mixture gas

Jung-Kyu Lee et al.

CURRENT APPLIED PHYSICS (2011)

Article Engineering, Electrical & Electronic

Process Technology Variation

Kelin J. Kuhn et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2011)

Article Physics, Applied

Effects of rf-bias power on plasma parameters in a low gas pressure inductively coupled plasma

Hyo-Chang Lee et al.

APPLIED PHYSICS LETTERS (2010)

Article Physics, Applied

Carbon nanotube film piezoresistors embedded in polymer membranes

Kangwon Lee et al.

APPLIED PHYSICS LETTERS (2010)

Review Physics, Applied

High aspect ratio silicon etch: A review

Banqiu Wu et al.

JOURNAL OF APPLIED PHYSICS (2010)

Article Materials Science, Coatings & Films

Molecular dynamics simulations of the sputtering of SiC and Si3N4

A. -P. Prskalo et al.

SURFACE & COATINGS TECHNOLOGY (2010)

Article Physics, Applied

Sputtering yields of Ru, Mo, and Si under low energy Ar+ bombardment

Shiou-Min Wu et al.

JOURNAL OF APPLIED PHYSICS (2009)

Review Physics, Applied

Developments of plasma etching technology for fabricating semiconductor devices

Haruhiko Abe et al.

JAPANESE JOURNAL OF APPLIED PHYSICS (2008)

Article Engineering, Electrical & Electronic

Surface roughness generated by plasma etching processes of silicon

M. Martina et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2008)

Editorial Material Multidisciplinary Sciences

Materials science - The cutting edge of plasma etching

Thorsten Lill et al.

SCIENCE (2008)

Article Education, Scientific Disciplines

Understanding Langmuir probe current-voltage characteristics

Robert L. Merlino

AMERICAN JOURNAL OF PHYSICS (2007)

Article Astronomy & Astrophysics

Ion-acoustic instability in a dusty negative ion plasma

M. Rosenberg et al.

PLANETARY AND SPACE SCIENCE (2007)

Article Materials Science, Multidisciplinary

Plasma/reactor walls interactions in advanced gate etching processes

R. Ramos et al.

THIN SOLID FILMS (2007)

Article Physics, Applied

Floating probe for electron temperature and ion density measurement applicable to processing plasmas

Min-Hyong Lee et al.

JOURNAL OF APPLIED PHYSICS (2007)

Article Physics, Fluids & Plasmas

On the E to H and H to E transition mechanisms in inductively coupled plasma

Min-Hyong Lee et al.

PHYSICS OF PLASMAS (2006)

Article Physics, Applied

Source gas dependency of amorphous fluorinated carbon film properties prepared by plasma enhanced chemical vapor deposition using C4F8, C4F6, and C5F8 gases

Hiroki Watanabe et al.

JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS & EXPRESS LETTERS (2006)

Article Chemistry, Multidisciplinary

Electron interaction cross sections for CF3I, C2F4, and CFx (x=1-3) radicals

I Rozum et al.

JOURNAL OF PHYSICAL AND CHEMICAL REFERENCE DATA (2006)

Article Physics, Applied

SiO2 and Si3N4 etch mechanisms in NF3/hydrocarbon plasma

P Machima et al.

JOURNAL OF PHYSICS D-APPLIED PHYSICS (2006)

Article Engineering, Electrical & Electronic

Maximum achievable aspect ratio in deep reactive ion etching of silicon due to aspect ratio dependent transport and the microloading effect

J Yeom et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2005)

Article Physics, Fluids & Plasmas

New chamber walls conditioning and cleaning strategies to improve the stability of plasma processes

G Cunge et al.

PLASMA SOURCES SCIENCE & TECHNOLOGY (2005)

Article Electrochemistry

Atomic layer etching of Si(100) and Si(111) using Cl-2 and Ar neutral beam

SD Park et al.

ELECTROCHEMICAL AND SOLID STATE LETTERS (2005)

Article Instruments & Instrumentation

Wave cutoff method to measure absolute electron density in cold plasma

JH Kim et al.

REVIEW OF SCIENTIFIC INSTRUMENTS (2004)

Review Multidisciplinary Sciences

Siticon device scaling to the sub-10-nm regime

M Leong et al.

SCIENCE (2004)

Article Physics, Applied

Active control of instabilities for plasma processing with electronegative gases

DL Goodman et al.

JOURNAL OF PHYSICS D-APPLIED PHYSICS (2003)

Article Materials Science, Coatings & Films

Stable and unstable behavior of inductively coupled electronegative discharges

AM Marakhtanov et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2003)

Article Materials Science, Coatings & Films

Fluorocarbon-based plasma etching of SiO2:: Comparison of C4F6/Ar and C4F8/Ar discharges

X Li et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS (2002)

Article Materials Science, Coatings & Films

Maintaining reproducible plasma reactor wall conditions:: SF6 plasma cleaning of films deposited on chamber walls during Cl2/O2 plasma etching of Si

SJ Ullal et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS (2002)

Article Chemistry, Physical

Layer-by-layer etching of Cl-adsorbed silicon surfaces by low energy Ar+ ion irradiation

BJ Kim et al.

APPLIED SURFACE SCIENCE (2002)

Article Physics, Fluids & Plasmas

Instabilities in low-pressure electronegative inductive discharges

P Chabert et al.

PLASMA SOURCES SCIENCE & TECHNOLOGY (2001)

Article Physics, Applied

Gas residence time effects on plasma parameters:: Comparison between Ar and C4F8

HH Doh et al.

JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS (2001)

Article Physics, Fluids & Plasmas

Theoretical overview of the large-area plasma processing system (LAPPS)

WM Manheimer et al.

PLASMA SOURCES SCIENCE & TECHNOLOGY (2000)

Article Materials Science, Coatings & Films

Cryogenic etching of deep narrow trenches in silicon

S Aachboun et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A-VACUUM SURFACES AND FILMS (2000)

Article Engineering, Electrical & Electronic

Improvement of sidewall roughness in deep silicon etching

M Chabloz et al.

MICROSYSTEM TECHNOLOGIES-MICRO-AND NANOSYSTEMS-INFORMATION STORAGE AND PROCESSING SYSTEMS (2000)