4.7 Review

Green Nanofabrication Opportunities in the Semiconductor Industry: A Life Cycle Perspective

期刊

NANOMATERIALS
卷 11, 期 5, 页码 -

出版社

MDPI
DOI: 10.3390/nano11051085

关键词

area selective deposition (ASD); directed self-assembly (DSA); block copolymer (BCP); polymer brushes; lithography; green chemistry; semiconductor industry; environmental sustainability; life cycle assessment (LCA) and ex ante analysis; bottom-up lithography; top-down lithography; nanofabrication

资金

  1. Science Foundation Ireland [12/RC/2278]

向作者/读者索取更多资源

The turn of the 21st century saw the emergence of the semiconductor age alongside the Anthropocene epoch, with the ecological consequences of semiconductor chip manufacturing becoming more prominent in the electronics industry. Developments in bottom-up lithography techniques provide an opportunity to improve the sustainability of lithography.
The turn of the 21st century heralded in the semiconductor age alongside the Anthropocene epoch, characterised by the ever-increasing human impact on the environment. The ecological consequences of semiconductor chip manufacturing are the most predominant within the electronics industry. This is due to current reliance upon large amounts of solvents, acids and gases that have numerous toxicological impacts. Management and assessment of hazardous chemicals is complicated by trade secrets and continual rapid change in the electronic manufacturing process. Of the many subprocesses involved in chip manufacturing, lithographic processes are of particular concern. Current developments in bottom-up lithography, such as directed self-assembly (DSA) of block copolymers (BCPs), are being considered as a next-generation technology for semiconductor chip production. These nanofabrication techniques present a novel opportunity for improving the sustainability of lithography by reducing the number of processing steps, energy and chemical waste products involved. At present, to the extent of our knowledge, there is no published life cycle assessment (LCA) evaluating the environmental impact of new bottom-up lithography versus conventional lithographic techniques. Quantification of this impact is central to verifying whether these new nanofabrication routes can replace conventional deposition techniques in industry as a more environmentally friendly option.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.7
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据