4.6 Article

mr-EBL: ultra-high sensitivity negative-tone electron beam resist for highly selective silicon etching and large-scale direct patterning of permanent structures

期刊

NANOTECHNOLOGY
卷 32, 期 24, 页码 -

出版社

IOP PUBLISHING LTD
DOI: 10.1088/1361-6528/abeded

关键词

electron beam lithography; nanofabrication; reactive ion etching; Raman spectroscopy; diffraction grating; negative tone resist; high sensitivity

资金

  1. Defense Advanced Research Projects Agency (DARPA) [N6600117C4012]
  2. National Institutes of Health [U01NS090596]

向作者/读者索取更多资源

Mr-EBL is established as the highest throughput negative tone electron-beam-sensitive resist, with the ability to fabricate high-resolution nanometer-scale devices in a ten minute EBL process. Optimized processing conditions result in 3x faster write speeds compared to other materials, and the resist demonstrates robust negative etch mask properties with high aspect ratio silicon trenches and near-vertical sidewalls.
Electron beam lithography (EBL) is the state-of-the-art technique for rapid prototyping of nanometer-scale devices. Even so, processing speeds remain limited for the highest resolution patterning. Here, we establish Mr-EBL as the highest throughput negative tone electron-beam-sensitive resist. The 10 mu C cm(-2) dose requirement enables fabricating a 100 mm(2) photonic diffraction grating in a ten minute EBL process. Optimized processing conditions achieve a critical resolution of 75 nm with 3x faster write speeds than SU-8 and 1-2 orders of magnitude faster write speeds than maN-2400 and hydrogen silsesquioxane. Notably, these conditions significantly differ from the manufacturers' recommendations for the recently commercialized Mr-EBL resist. We demonstrate Mr-EBL to be a robust negative etch mask by etching silicon trenches with aspect ratios of 10 and near-vertical sidewalls. Furthermore, our optimized processing conditions are suitable to direct patterning on integrated circuits or delicate nanofabrication stacks, in contrast to other negative tone EBL resists. In conclusion, Mr-EBL is a highly attractive EBL resist for rapid prototyping in nanophotonics, MEMS, and fluidics.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.6
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据