4.6 Review

Built-In Self-Test (BIST) Methods for MEMS: A Review

期刊

MICROMACHINES
卷 12, 期 1, 页码 -

出版社

MDPI
DOI: 10.3390/mi12010040

关键词

micro-electro-mechanical systems (MEMS) test; built-in-self-test (BIST); failure modes; multi-functional sensors

资金

  1. Engineering and Physical Sciences Research Council under the Centre for Doctoral Training in Embedded intelligence (CDT-EI) [1799140]

向作者/读者索取更多资源

A novel taxonomy of built-in self-test (BIST) methods for testing micro-electro-mechanical systems (MEMS) is presented in this study. With MEMS testing accounting for 50% of total costs, cost-effective and non-intrusive BIST solutions are actively sought after. A classification table benchmarks different testing methods based on ease of implementation, usefulness, test duration, and power consumption, providing insights into their domain of application.
A novel taxonomy of built-in self-test (BIST) methods is presented for the testing of micro-electro-mechanical systems (MEMS). With MEMS testing representing 50% of the total costs of the end product, BIST solutions that are cost-effective, non-intrusive and able to operate non-intrusively during system operation are being actively sought after. After an extensive review of the various testing methods, a classification table is provided that benchmarks such methods according to four performance metrics: ease of implementation, usefulness, test duration and power consumption. The performance table provides also the domain of application of the method that includes field test, power-on test or assembly phase test. Although BIST methods are application dependent, the use of the inherent multi-modal sensing capability of most sensors offers interesting prospects for effective BIST, as well as built-in self-repair (BISR).

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.6
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据