4.5 Article

TAMER: an adaptive task allocation method for aging reduction in multi-core embedded real-time systems

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Article Computer Science, Hardware & Architecture

Aging-Aware Instruction-Level Statistical Dynamic Timing Analysis for Embedded Processors

Iraj Moghaddast et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2020)

Article Computer Science, Hardware & Architecture

Application Phase Behavior-Guided Thermal Management of Embedded Platforms

Rakesh Kumar et al.

IEEE EMBEDDED SYSTEMS LETTERS (2020)

Article Computer Science, Hardware & Architecture

Energy-Efficient Application Mapping and Scheduling for Lifetime Guaranteed MPSoCs

Weichen Liu et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2019)

Article Computer Science, Hardware & Architecture

Instruction-Level NBTI Stress Estimation and Its Application in Runtime Aging Prediction for Embedded Processors

Iraj Moghaddasi et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2019)

Article Computer Science, Hardware & Architecture

Custard: ASIC Workload-Aware Reliable Design for Multicore IoT Processors

Scott Lerner et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2019)

Article Computer Science, Hardware & Architecture

Power Reduction and BTI Mitigation of Data-Cache Memory Based on the Storage Management of Narrow-Width Values

Nezam Rohbani et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2019)

Proceedings Paper Computer Science, Interdisciplinary Applications

Power Management through Aging-Based Task Scheduling Algorithms for Smart Grids

Farideh Doost Mohammadi et al.

2019 IEEE POWER & ENERGY SOCIETY INNOVATIVE SMART GRID TECHNOLOGIES CONFERENCE (ISGT) (2019)

Article Computer Science, Theory & Methods

Power transmission and workload balancing policies in eHealth mobile cloud computing scenarios

Josue Pagan et al.

FUTURE GENERATION COMPUTER SYSTEMS-THE INTERNATIONAL JOURNAL OF ESCIENCE (2018)

Article Computer Science, Hardware & Architecture

Aging-Aware Workload Management on Embedded GPU Under Process Variation

Haeseung Lee et al.

IEEE TRANSACTIONS ON COMPUTERS (2018)

Article Computer Science, Hardware & Architecture

Aging-Aware Boosting

Heba Khdr et al.

IEEE TRANSACTIONS ON COMPUTERS (2018)

Article Engineering, Electrical & Electronic

A Low-Overhead Integrated Aging and SEU Sensor

Nezam Rohbani et al.

IEEE TRANSACTIONS ON DEVICE AND MATERIALS RELIABILITY (2018)

Article Engineering, Electrical & Electronic

Dynamic reliability management based on resource-based EM modeling for multi-core microprocessors

Taeyoung Kim et al.

MICROELECTRONICS JOURNAL (2018)

Article Engineering, Electrical & Electronic

A cross-layer aging-aware task scheduling approach for multiprocessor embedded systems

Masoomeh Karami et al.

MICROELECTRONICS RELIABILITY (2018)

Article Computer Science, Hardware & Architecture

A scheduling based energy-aware core switching technique to avoid thermal threshold values in multi-core processing systems

Qaisar Bashir et al.

MICROPROCESSORS AND MICROSYSTEMS (2018)

Article Engineering, Electrical & Electronic

Dynamic Temperature Aware Scheduling for CPU-GPU 3D Multicore Processor with Regression Predictor

Hossein Pourmeidani et al.

JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE (2018)

Article Engineering, Electrical & Electronic

Lifetime-aware scheduling in high level synthesis

Siavash Es'haghi et al.

MICROELECTRONICS RELIABILITY (2018)

Article Computer Science, Hardware & Architecture

Thermal-Aware Resource Management for Embedded Real-Time Systems

Youngmoon Lee et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2018)

Proceedings Paper Computer Science, Artificial Intelligence

WiP: Floating XY-YX: An Efficient Thermal Management Routing Algorithm for 3D NoCs

Maede Safari et al.

2018 16TH IEEE INT CONF ON DEPENDABLE, AUTONOM AND SECURE COMP, 16TH IEEE INT CONF ON PERVAS INTELLIGENCE AND COMP, 4TH IEEE INT CONF ON BIG DATA INTELLIGENCE AND COMP, 3RD IEEE CYBER SCI AND TECHNOL CONGRESS (DASC/PICOM/DATACOM/CYBERSCITECH) (2018)

Proceedings Paper Computer Science, Theory & Methods

A Thermal Balance Oriented Task Mapping for CMPs

Jian Wang et al.

PROCEEDINGS OF THE 8TH INTERNATIONAL CONFERENCE ON INFORMATION COMMUNICATION AND MANAGEMENT (ICICM 2018) (2018)

Article Computer Science, Hardware & Architecture

Improving System-Level Lifetime Reliability of Multicore Soft Real-Time Systems

Yue Ma et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2017)

Article Computer Science, Hardware & Architecture

Contemporary CMOS aging mitigation techniques: Survey, taxonomy, and methods

Navid Khoshavi et al.

INTEGRATION-THE VLSI JOURNAL (2017)

Article Computer Science, Information Systems

Peak Temperature Minimization via Task Allocation and Splitting for Heterogeneous MPSoC Real-Time Systems

Junlong Zhou et al.

JOURNAL OF SIGNAL PROCESSING SYSTEMS FOR SIGNAL IMAGE AND VIDEO TECHNOLOGY (2016)

Article Computer Science, Theory & Methods

Lifetime Reliability Enhancement of Microprocessors: Mitigating the Impact of Negative Bias Temperature Instability

Hyejeong Hong et al.

ACM COMPUTING SURVEYS (2015)

Article Optics

ITRS lithography roadmap: 2015 challenges

Mark Neisser et al.

ADVANCED OPTICAL TECHNOLOGIES (2015)

Proceedings Paper Automation & Control Systems

Reinforcement learning-Based Inter- and Intra-Application Thermal Optimization for lifetime Improvement of Multicore Systems

Anup Das et al.

2014 51ST ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC) (2014)

Article Computer Science, Hardware & Architecture

Thread Relocation: A Runtime Architecture for Tolerating Hard Errors in Chip Multiprocessors

Omer Khan et al.

IEEE TRANSACTIONS ON COMPUTERS (2010)

Article Computer Science, Hardware & Architecture

Utilizing Predictors for Efficient Thermal Management in Multiprocessor SoCs

Ayse Kivilcim Coskun et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2009)

Article Computer Science, Hardware & Architecture

Static and dynamic temperature-aware scheduling for multiprocessor SoCs

Ayse Kivilcim Coskun et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2008)

Article Computer Science, Hardware & Architecture

Reliability concerns in embedded system designs

V Narayanan et al.

COMPUTER (2006)

Article Computer Science, Hardware & Architecture

Modeling and analysis of nonuniform substrate temperature effects on global ULSI interconnects

AH Ajami et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2005)