4.2 Article

Mask-absorber optimization: the next phase

出版社

SPIE-SOC PHOTO-OPTICAL INSTRUMENTATION ENGINEERS
DOI: 10.1117/1.JMM.19.2.024401

关键词

mask three-dimensional effects; extreme ultraviolet masks; phase-shift mask; extreme ultraviolet lithography

向作者/读者索取更多资源

We continue our work on the physics of mask-topography-induced phase effects in imaging using extreme ultraviolet (EUV) lithography, and specifically how these effects can be mitigated by alternative mask absorbers. We present a semianalytical model to calculate the mask-topography-induced phase offset and study its trend throughout the entire material space at 13.5-nm wavelength. We demonstrate that the model is in good agreement with 3D rigorous simulations. Using the model, we explain why the previously demonstrated phase shift close to 1.2 pi works optimally for EUV imaging. We show a low refractive index mask absorber (n < 0.91) is crucial for good mask 3D mitigation. We demonstrate the importance of mask bias and incident angle for imaging with an optimized attenuated phase-shift mask (PSM), which makes good source-mask optimization indispensable. We present the lithographic performance of alternative mask absorbers including a high-k mask, and a low- and high-transmission attenuated PSM for a few basic use cases, confirming the lithographic gain that can be obtained by mask-absorber optimization. (C) 2020 Society of Photo-Optical Instrumentation Engineers (SPIE)

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.2
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据