4.6 Review

Review of advanced CMOS technology for post-Moore era

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Article Physics, Multidisciplinary

Hole mobility enhancement of Si by rhombohedral strain

Song JianJun et al.

SCIENCE CHINA-PHYSICS MECHANICS & ASTRONOMY (2012)

Article Engineering, Electrical & Electronic

Mechanism of Stress Memorization Technique (SMT) and Method to Maximize Its Effect

S. M. Pandey et al.

IEEE ELECTRON DEVICE LETTERS (2011)

Article Engineering, Electrical & Electronic

Experimental Demonstration of Current Mirrors Based on Silicon Nanowire Transistors for Inversion and Subthreshold Operations

Ru Huang et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2011)

Article Computer Science, Information Systems

Variability in nanoscale CMOS technology

Kelin Kuhn

SCIENCE CHINA-INFORMATION SCIENCES (2011)

Article Computer Science, Information Systems

Inelastic electron tunneling spectroscopy (IETS) study of high-k gate dielectrics

T. P. Ma

SCIENCE CHINA-INFORMATION SCIENCES (2011)

Article Computer Science, Information Systems

Si nanowire FET and its modeling

Hiroshi Iwai et al.

SCIENCE CHINA-INFORMATION SCIENCES (2011)

Article Computer Science, Information Systems

Ultra-thin films and multigate devices architectures for future CMOS scaling

Simon Deleonibus

SCIENCE CHINA-INFORMATION SCIENCES (2011)

Article Computer Science, Information Systems

RF/wireless-interconnect: The next wave of connectivity

SaiWang Tam et al.

SCIENCE CHINA-INFORMATION SCIENCES (2011)

Article Computer Science, Information Systems

Advanced strain engineering for state-of-the-art nanoscale CMOS technology

Yang Bin (Frank) et al.

SCIENCE CHINA-INFORMATION SCIENCES (2011)

Article Computer Science, Information Systems

Next-generation lithography for 22 and 16 nm technology nodes and beyond

Wu Banqiu

SCIENCE CHINA-INFORMATION SCIENCES (2011)

Review Chemistry, Physical

Energy Dissipation and Transport in Nanoscale Devices

Eric Pop

NANO RESEARCH (2010)

Review Nanoscience & Nanotechnology

Graphene transistors

Frank Schwierz

NATURE NANOTECHNOLOGY (2010)

Review Computer Science, Information Systems

Challenges of 22 nm and beyond CMOS technology

Huang Ru et al.

SCIENCE IN CHINA SERIES F-INFORMATION SCIENCES (2009)

Article Engineering, Electrical & Electronic

Experimental Investigations on Carrier Transport in Si Nanowire Transistors: Ballistic Efficiency and Apparent Mobility

Runsheng Wang et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2008)

Article Engineering, Electrical & Electronic

Quasi-SOI MOSFETs - A promising bulk device candidate for extremely scaled era

Yu Tian et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2007)

Article Engineering, Electrical & Electronic

A novel nanoscaled device concept: Quasi-SOI MOSFET to eliminate the potential weaknesses of UTB SOI MOSFET

Y Tian et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2005)

Article Electrochemistry

Ultrathin HfO2(EOT < 0.75nm) gate stack with TaN/HfN electrodes fabricated using a high-temperature process

JF Kang et al.

ELECTROCHEMICAL AND SOLID STATE LETTERS (2005)

Article Engineering, Electrical & Electronic

Multiple-pulse laser annealing of preamorphized silicon for ultrashallow boron junction formation

CH Poon et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2003)