4.2 Article Proceedings Paper

Band offsets and work function control in field effect transistors

相关参考文献

注意:仅列出部分参考文献,下载原文获取全部文献信息。
Article Physics, Applied

Oxygen defect accumulation at Si:HfO2 interfaces

C. Tang et al.

APPLIED PHYSICS LETTERS (2008)

Article Physics, Applied

Te-induced modulation of the Mo/HfO2 interface effective work function

Ka Xiong et al.

APPLIED PHYSICS LETTERS (2008)

Article Physics, Applied

p-type Fermi level pinning at a Si:Al2O3 model interface

L. R. C. Fonseca et al.

APPLIED PHYSICS LETTERS (2008)

Article Physics, Applied

Segregation of oxygen vacancy at metal-HfO2 interfaces

Eunae Cho et al.

APPLIED PHYSICS LETTERS (2008)

Article Physics, Applied

Dipole model explaining high-k/metal gate field effect transistor threshold voltage tuning

P. D. Kirsch et al.

APPLIED PHYSICS LETTERS (2008)

Review Engineering, Electrical & Electronic

Metal electrode/high-k dielectric gate-stack technology for power management

Byoung Hun Lee et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2008)

Review Materials Science, Multidisciplinary

Gate Oxides Beyond SiO2

Darrell G. Schlom et al.

MRS BULLETIN (2008)

Article Engineering, Electrical & Electronic

Decoupling the Fermi-level pinning effect and intrinsic limitations on p-type effective work function metal electrodes

Huang-Chun Wen et al.

MICROELECTRONIC ENGINEERING (2008)

Article Physics, Applied

Study of la-induced flat band voltage shift in Metal/HfLaOx/SiO2/Si capacitors

Yoshiki Yamamoto et al.

JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS (2007)

Article Physics, Applied

On the positive channel threshold voltage of metal gate electrodes on high-permittivity gate dielectrics

J. K. Schaeffer et al.

JOURNAL OF APPLIED PHYSICS (2007)

Article Physics, Applied

Fermi level pinning by defects in HfO2-metal gate stacks

J. Robertson et al.

APPLIED PHYSICS LETTERS (2007)

Article Engineering, Electrical & Electronic

Wide Vfb and Vth tunability for metal-gated MOS devices with HfLaO gate dielectrics

X. P. Wang et al.

IEEE ELECTRON DEVICE LETTERS (2007)

Article Engineering, Electrical & Electronic

High-temperature stable HfLaON p-MOSFETs with high-work-function Ir3Si gate

C. H. Wu et al.

IEEE ELECTRON DEVICE LETTERS (2007)

Article Physics, Applied

Tantalum carbonitride electrodes and the impact of interface chemistry on device characteristics

J. K. Schaeffer et al.

JOURNAL OF APPLIED PHYSICS (2007)

Article Materials Science, Multidisciplinary

Theoretical study of the insulator/insulator interface: Band alignment at the SiO2/HfO2 junction

Onise Sharia et al.

PHYSICAL REVIEW B (2007)

Article Physics, Applied

Work function engineering using lanthanum oxide interfacial layers

H. N. Alshareef et al.

APPLIED PHYSICS LETTERS (2006)

Article Physics, Applied

Modified oxygen vacancy induced Fermi level pinning model extendable to p-metal pinning

Yasushi Akasaka et al.

JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS & EXPRESS LETTERS (2006)

Article Physics, Applied

Effective work function modulation by controlled dielectric monolayer deposition

L. Pantisano et al.

APPLIED PHYSICS LETTERS (2006)

Article Materials Science, Multidisciplinary

Thermodynamic stability and band alignment at a metal-high-k dielectric interface

Alexander A. Demkov

PHYSICAL REVIEW B (2006)

Article Engineering, Electrical & Electronic

Comparison of effective work function extraction methods using capacitance and current measurement techniques

Huang-Chun Wen et al.

IEEE ELECTRON DEVICE LETTERS (2006)

Article Engineering, Electrical & Electronic

Physical and electrical characteristics of high-κ gate dielectric Hf(1-x)LaxOy

X. P. Wang et al.

SOLID-STATE ELECTRONICS (2006)

Review Materials Science, Multidisciplinary

Nanoindentation studies of materials

Christopher A. Schuh

MATERIALS TODAY (2006)

Article Physics, Applied

Metal gate work function engineering using AlNx interfacial layers

HN Alshareef et al.

APPLIED PHYSICS LETTERS (2006)

Article Physics, Applied

Composition dependence of the work function of Ta1-xAlxNy metal gates -: art. no. 072108

HN Alshareef et al.

APPLIED PHYSICS LETTERS (2006)

Review Physics, Multidisciplinary

High dielectric constant gate oxides for metal oxide Si transistors

J Robertson

REPORTS ON PROGRESS IN PHYSICS (2006)

Article Materials Science, Multidisciplinary

Chemical tuning of band alignments for metal gate/high-kappa oxide interfaces

YF Dong et al.

PHYSICAL REVIEW B (2006)

Article Engineering, Electrical & Electronic

Tuning effective metal gate work function by a novel gate dielectric HfLaO for nMOSFETs

XP Wang et al.

IEEE ELECTRON DEVICE LETTERS (2006)

Article Engineering, Electrical & Electronic

Application of high-κ gate dielectrics and metal gate electrodes to enable silicon and non-silicon logic nanotechnology

R Chau et al.

MICROELECTRONIC ENGINEERING (2005)

Article Physics, Multidisciplinary

Bonding, energies, and band offsets of Si-ZrO2 and HfO2 gate oxide interfaces -: art. no. 057601

PW Peacock et al.

PHYSICAL REVIEW LETTERS (2004)

Article Physics, Applied

Contributions to the effective work function of platinum on hafnium dioxide

JK Schaeffer et al.

APPLIED PHYSICS LETTERS (2004)

Article Physics, Applied

Oxygen vacancy induced substantial threshold voltage shifts in the Hf-based high-K MISFET with p+poly-Si gates -: A theoretical approach

K Shiraishi et al.

JAPANESE JOURNAL OF APPLIED PHYSICS PART 2-LETTERS & EXPRESS LETTERS (2004)

Article Engineering, Electrical & Electronic

A capacitance-based methodology for work function extraction of metals on high-κ

R Jha et al.

IEEE ELECTRON DEVICE LETTERS (2004)

Article Engineering, Electrical & Electronic

Fermi-level pinning at the polysilicon/metal oxide interface - Part I

CC Hobbs et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2004)

Article Engineering, Electrical & Electronic

Fermi-level pinning at the polysilicon metal oxide interface - Part II

CC Hobbs et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2004)

Article Materials Science, Multidisciplinary

Electronic states and Schottky barrier height at metal/MgO(100) interfaces

J Goniakowski et al.

INTERFACE SCIENCE (2004)

Article Engineering, Electrical & Electronic

Fermi pinning-induced thermal instability of metal-gate work functions

HY Yu et al.

IEEE ELECTRON DEVICE LETTERS (2004)

Article Engineering, Electrical & Electronic

Compatibility of silicon gates with hafnium-based gate dielectrics

DC Gilmer et al.

MICROELECTRONIC ENGINEERING (2003)

Article Materials Science, Multidisciplinary

Bond formation at the Ni/ZrO2 interface -: art. no. 075401

JI Beltrán et al.

PHYSICAL REVIEW B (2003)

Article Physics, Applied

Band offsets and Schottky barrier heights of high dielectric constant oxides

PW Peacock et al.

JOURNAL OF APPLIED PHYSICS (2002)

Article Materials Science, Multidisciplinary

Microscopic mechanisms of stabilization of polar oxide surfaces: Transition metals on the MgO(111) surface

J Goniakowski et al.

PHYSICAL REVIEW B (2002)

Article Materials Science, Ceramics

Band offsets of high dielectric constant gate oxides on silicon

J Robertson

JOURNAL OF NON-CRYSTALLINE SOLIDS (2002)

Article Materials Science, Multidisciplinary

Vacancy and interstitial defects in hafnia

AS Foster et al.

PHYSICAL REVIEW B (2002)

Article Physics, Condensed Matter

First-principles simulation: ideas, illustrations and the CASTEP code

MD Segall et al.

JOURNAL OF PHYSICS-CONDENSED MATTER (2002)

Article Physics, Applied

Band alignments in metal-oxide-silicon structures with atomic-layer deposited Al2O3 and ZrO2

VV Afanas'ev et al.

JOURNAL OF APPLIED PHYSICS (2002)

Article Materials Science, Multidisciplinary

A thermodynamic approach to selecting alternative gate dielectrics

DG Schlom et al.

MRS BULLETIN (2002)

Article Engineering, Electrical & Electronic

Ultrathin high-K metal oxides on silicon: processing, characterization and integration issues

EP Gusev et al.

MICROELECTRONIC ENGINEERING (2001)

Review Physics, Applied

High-κ gate dielectrics:: Current status and materials properties considerations

GD Wilk et al.

JOURNAL OF APPLIED PHYSICS (2001)

Article Chemistry, Physical

Adhesion of ultrathin ZrO2(111) films on Ni(111) from first principles

A Christensen et al.

JOURNAL OF CHEMICAL PHYSICS (2001)

Review Physics, Condensed Matter

Polar oxide surfaces

C Noguera

JOURNAL OF PHYSICS-CONDENSED MATTER (2000)

Article Physics, Multidisciplinary

Chemical bonding and Fermi level pinning at metal-semiconductor interfaces

RT Tung

PHYSICAL REVIEW LETTERS (2000)

Article Engineering, Electrical & Electronic

Band offsets of wide-band-gap oxides and implications for future electronic devices

J Robertson

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B (2000)