4.4 Review

Making lithography work for the 7-nm node and beyond in overlay accuracy, resolution, defect, and cost

期刊

MICROELECTRONIC ENGINEERING
卷 143, 期 -, 页码 91-101

出版社

ELSEVIER SCIENCE BV
DOI: 10.1016/j.mee.2015.04.033

关键词

E-beam maskless lithography; Immersion lithography; EUV lithography; Overlay accuracy; Resolution; Defect and cost

向作者/读者索取更多资源

Overlay accuracy, resolution, defect, and cost are identified as the major challenges to extend lithography to the 7-nm node and beyond. Overlay accuracy is of the highest concern because it is not scalable with a more powerful lens or a shorter wavelength. It is dictated by mechanical precision and the ability to measure overlay errors. It also depends on non-litho fabrication techniques. We propose five approaches in wafer processing and four approaches in mask making to improve overlay accuracy. We also point out other means to pursue instead of squeezing overlay accuracy by brute force. Resolution and the corresponding process window are compared among ArF water immersion lithography, EUV lithography, and multiple e-beam direct write lithography. The limitation by resists may become the ultimate showstopper in resolution. At the advance of each node, the threshold of defect size is automatically tightened for defects on masks and on wafers. Mask defects can be in the absorber and the blank. Defects can come from contamination or cleaning. Even with maskless e-beam lithography, the in situ programmable mask can be contaminated. Defects can also be generated during wafer exposure, wafer processing, and non-litho processing. Solutions are provided and their practicality discussed. Lithography cost to produce single-digit nanometer features can be the decisive showstopper. We show several cost scenarios using manufacturing considerations and discuss cost effectiveness. (C) 2015 Elsevier B.V. All rights reserved.

作者

我是这篇论文的作者
点击您的名字以认领此论文并将其添加到您的个人资料中。

评论

主要评分

4.4
评分不足

次要评分

新颖性
-
重要性
-
科学严谨性
-
评价这篇论文

推荐

暂无数据
暂无数据