4.5 Article

Guidelines for etching silicon MEMS structures using fluorine high-density plasmas at cryogenic temperatures

Journal

JOURNAL OF MICROELECTROMECHANICAL SYSTEMS
Volume 11, Issue 4, Pages 385-401

Publisher

IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
DOI: 10.1109/JMEMS.2002.800928

Keywords

cryogenic etching; profile control; reactive ion etching (RIE)

Ask authors/readers for more resources

This paper presents guidelines for the deep reactive ion etching (DRIE) of silicon MEMS structures, employing SF6/O-2-based high-density plasmas at cryogenic temperatures. Procedures of how to tune the equipment for optimal results with respect to etch rate and profile control are described. Profile control is a delicate balance between the respective etching and deposition rates of a SiOxFy passivation layer on the sidewalls and bottom of an etched structure in relation to the silicon removal rate from unpassivated areas. Any parameter that affects the relative rates of these processes has an effect on profile control. The deposition of the SiOxFy layer is mainly determined by the oxygen content in the SF6 gas flow and the electrode temperature. Removal of the SiOxFy. layer is mainly determined by the kinetic energy (self-bias) of ions in the SF6/O-2 plasma. Diagrams for profile control are given as a function of parameter settings, employing the previously published black silicon method. Parameter settings for high rate silicon bulk etching, and the etching of micro needles and micro moulds are discussed, which demonstrate the usefulness of the diagrams for optimal design of etched features. Furthermore it is demonstrated that in order to use the oxygen flow as a control parameter for cryogenic DRIE, it is necessary to avoid or at least restrict the presence of fused silica as a dome material, because this material may release oxygen due to corrosion during operation of the plasma source. en inert dome materials like alumina are used, etching recipes can be defined for a broad variety of microstructures in the cryogenic temperature regime. Recipes with relatively low oxygen content (1-10% of the total gas volume) and ions with low kinetic energy can now be applied to observe a low lateral etch rate beneath the mask, and a high selectivity (more than 500) of silicon etching with respect to polymers and oxide mask materials is obtained. Crystallographic preference etching of silicon is observed at low wafer temperature (-120 degreesC). This effect is enhanced by increasing the process pressure above 10 mtorr or for low ion energies (below 20 eV).

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.5
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available