4.3 Article

Reliability characteristics of high-k, dielectrics

Journal

MICROELECTRONICS RELIABILITY
Volume 44, Issue 2, Pages 183-193

Publisher

PERGAMON-ELSEVIER SCIENCE LTD
DOI: 10.1016/j.microrel.2003.10.008

Keywords

-

Ask authors/readers for more resources

In this paper, recent results of Weibull slopes, area scaling factors, and breakdown behaviors observed for both soft breakdown and hard breakdown are discussed. These results would help to shed light on the breakdown mechanism of HfO2 gate dielectrics. The Weibull slope of the hard breakdown for both the area dependence and the time-to-dielectric-breakdown distribution was found to be beta = 2, whereas that of the soft breakdown was about 1.4 (EOT = Angstrom14). We also integrated the time-to-breakdown characteristics of HfO2 under unipolar AC voltage stress on MOS capacitors. The results show that longer lifetime of HfO2 has been observed when compared to constant voltage stress. Higher frequency and lower duty cycle in the AC stress resulted in longer lifetime. As thickness decreases, the amount of lifetime enhancement decreases. The enhancement of unipolar t(BD) is attributed to less charge trapping during the on time, t(on) and charge detrapping during the off time, t(off). It is proposed that time (tau(in)) for charge to be trapped in HfO2 is longer than t(on) of unipolar stress under high frequency. In addition to experimental results, possible solutions are discussed. (C) 2003 Published by Elsevier Ltd.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.3
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available