4.6 Article

Epitaxial growth of radial Si p-i-n junctions for photovoltaic applications

Journal

APPLIED PHYSICS LETTERS
Volume 102, Issue 9, Pages -

Publisher

AMER INST PHYSICS
DOI: 10.1063/1.4794541

Keywords

-

Funding

  1. Los Alamos National Laboratory [DE-AC52-06NA25396]
  2. Sandia National Laboratories [DE-AC04-94AL85000]
  3. Laboratory Directed Research and Development Program at LANL
  4. DOE Office of Energy Efficiency and Renewable Energy, Solar Energy Program [EB2101010]

Ask authors/readers for more resources

Achieving high quality radial junctions in nanowire arrays with controlled doping profiles is critical for their potential photovoltaic applications. We present a low temperature epitaxial growth process for silicon radial p-n and p-i-n junction arrays on top-down fabricated nanowires using silane-based chemical vapor deposition. Epitaxial growth on [111] oriented nanowires of similar to 300 nm diameter and up to 10 mu m in length exhibits well-defined, single crystalline {110} faceted surfaces at temperatures as low as 710 degrees C. The growth rate G at 810 degrees C for intrinsic Si is greater than that for heavily B- and P-doped Si (G(i)>G(p)>G(n)). Faceted growth morphology at the tip of the nanowires results in well-defined match-head structures for undoped and B-doped growth. Preliminary photovoltaic device arrays of similar to 4 x 10(4) nanowires based on our radial epitaxial p-i-n junction growth approach achieve solar energy conversion efficiencies of 10% under AM 1.5 G illumination. (C) 2013 American Institute of Physics. [http://dx.doi.org/10.1063/1.4794541]

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.6
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available