4.6 Article

Performance Comparisons Between 7-nm FinFET and Conventional Bulk CMOS Standard Cell Libraries

Journal

Publisher

IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC
DOI: 10.1109/TCSII.2015.2391632

Keywords

Energy-efficient computing; FinFET; near-threshold computing; standard cell library; 7-nm technology

Funding

  1. Defense Advanced Research Projects Agency through the Power Efficiency Revolution for Embedded Computing Technologies (PERFECT) program
  2. National Science Foundation through the Software and Hardware Foundations

Ask authors/readers for more resources

FinFET devices have been proposed as a promising substitute for conventional bulk CMOS-based devices at the nanoscale due to their extraordinary properties such as improved channel controllability, a high ON/OFF current ratio, reduced short-channel effects, and relative immunity to gate line-edge roughness. This brief builds standard cell libraries for the advanced 7-nm FinFET technology, supporting multiple threshold voltages and supply voltages. The circuit synthesis results of various combinational and sequential circuits based on the presented 7-nm FinFET standard cell libraries forecast 10x and 1000x energy reductions on average in a superthreshold regime and 16x and 3000x energy reductions on average in a near-threshold regime as compared with the results of the 14-nm and 45-nm bulk CMOS technology nodes, respectively.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.6
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available