3.8 Proceedings Paper

Estimating and Understanding Architectural Risk

Publisher

ASSOC COMPUTING MACHINERY
DOI: 10.1145/3123939.3124541

Keywords

Uncertainty; Random Variable; Core Selection; Architecture Modelling

Funding

  1. National Science Foundation [1239567, 1162187, 1563935]
  2. Direct For Computer & Info Scie & Enginr [1162187, 1239567, 1563935] Funding Source: National Science Foundation
  3. Division Of Computer and Network Systems [1162187, 1239567, 1563935] Funding Source: National Science Foundation

Ask authors/readers for more resources

Designing a system in an era of rapidly evolving application behaviors and significant technology shifts involves taking on risk that a design will fail to meet its performance goals. While risk assessment and management are expected in both business and investment, these aspects are typically treated as independent to questions of performance and efficiency in architecture analysis. As hardware and software characteristics become uncertain (i.e. samples from a distribution), we demonstrate that the resulting performance distributions quickly grow beyond our ability to reason about with intuition alone. We further show that knowledge of the performance distribution can be used to significantly improve both the average case performance and minimize the risk of under-performance (which we term architectural risk). Our automated framework can be used to quantify the areas where trade-offs between expected performance and the tail of performance are most acute and provide new insights supporting architectural decision making (such as core selection) under uncertainty. Importantly it can do this even without a priori knowledge of an analytic model governing that uncertainty.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

3.8
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available