4.5 Article

3RSeT: Read Disturbance Rate Reduction in STT-MRAM Caches by Selective Tag Comparison

Journal

IEEE TRANSACTIONS ON COMPUTERS
Volume 71, Issue 6, Pages 1305-1319

Publisher

IEEE COMPUTER SOC
DOI: 10.1109/TC.2021.3082004

Keywords

Cache memory; error rate; read disturbance; reliability; STT-MRAM memory; tag array

Funding

  1. Sharif University of Technology

Ask authors/readers for more resources

This article introduces Spin-Transfer Torque Magnetic RAM (STT-MRAM) as a promising replacement for SRAM in on-chip cache memories and proposes a low-cost scheme called 3RSeT to reduce the occurrence of read disturbance errors in STT-MRAM caches. The evaluations show that 3RSeT significantly reduces the read disturbance rate in the tag array, improves the Mean Time To Failure (MTTF), and reduces energy consumption.
Recent development in memory technologies has introduced Spin-Transfer Torque Magnetic RAM (STT-MRAM) as the most promising replacement for SRAMs in on-chip cache memories. Besides its lower leakage power, higher density, immunity to radiation-induced particles, and non-volatility, an unintentional bit flip during read operation, referred to as read disturbance error, is a severe reliability challenge in STT-MRAM caches. One major source of read disturbance error in STT-MRAM caches is simultaneous accesses to all tags for parallel comparison operation in a cache set, which has not been addressed in previous work. This article first demonstrates that high read accesses to tag array extremely increase the read disturbance rate and then proposes a low-cost scheme, so-called Read Disturbance Rate Reduction in STT-MRAM Caches by Selective Tag Comparison (3RSeT), to reduce the error rate by eliminating a significant portion of tag reads. 3RSeT proactively disables the tags that have no chance for hit, using low significant bits of the tags on each access request. Our evaluations using gem5 full-system cycle-accurate simulator show that 3RSeT reduces the read disturbance rate in the tag array by 71.8 percent, which results in 3.6x improvement in Mean Time To Failure (MTTF). In addition, the energy consumption is reduced by 62.1 percent without compromising performance and with less than 0.4 percent area overhead.

Authors

I am an author on this paper
Click your name to claim this paper and add it to your profile.

Reviews

Primary Rating

4.5
Not enough ratings

Secondary Ratings

Novelty
-
Significance
-
Scientific rigor
-
Rate this paper

Recommended

No Data Available
No Data Available