3.8 Proceedings Paper

LISA: Graph Neural Network based Portable Mapping on Spatial Accelerators

Related references

Note: Only part of the references are listed.
Article Computer Science, Theory & Methods

ARENA: Asynchronous Reconfigurable Accelerator Ring to Enable Data-Centric Parallel Computing

Cheng Tan et al.

Summary: Future HPC and data centers are likely to be reconfigurable and data-centric, with a trend towards hardware specialization and data-driven applications. ARENA proposes an asynchronous reconfigurable accelerator ring architecture to bring specialized computation to the data, utilizing a new architecture and programming model for asynchronous tasking across a cluster of reconfigurable nodes.

IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS (2021)

Article Computer Science, Artificial Intelligence

A Comprehensive Survey on Graph Neural Networks

Zonghan Wu et al.

Summary: This article provides a comprehensive overview of graph neural networks (GNNs) in data mining and machine learning fields. It discusses the taxonomy of GNNs, their applications, and summarizes open-source codes, benchmark data sets, and model evaluation. The article also proposes potential research directions in this rapidly growing field.

IEEE TRANSACTIONS ON NEURAL NETWORKS AND LEARNING SYSTEMS (2021)

Proceedings Paper Computer Science, Artificial Intelligence

HiMap: Fast and Scalable High-Quality Mapping on CGRA via Hierarchical Abstraction

Dhananiaya Wijerathne et al.

Summary: CGRA as a promising hardware accelerator relies on high-quality compilers for optimal performance, where HiMap offers a fast and scalable mapping approach that improves performance and energy efficiency significantly while reducing compilation time.

PROCEEDINGS OF THE 2021 DESIGN, AUTOMATION & TEST IN EUROPE CONFERENCE & EXHIBITION (DATE 2021) (2021)

Proceedings Paper Computer Science, Hardware & Architecture

OpenCGRA: Democratizing Coarse-Grained Reconfigurable Arrays

Cheng Tan et al.

Summary: Reconfigurable architectures are gaining renewed interest for their ability to provide specialization without sacrificing adaptability; CGRAs offer higher flexibility and hardware efficiency compared to ASICs and FPGAs; however, specializing and implementing CGRAs require significant software/hardware engineering effort.

2021 IEEE 32ND INTERNATIONAL CONFERENCE ON APPLICATION-SPECIFIC SYSTEMS, ARCHITECTURES AND PROCESSORS (ASAP 2021) (2021)

Proceedings Paper Computer Science, Hardware & Architecture

Ultra-Elastic CGRAs for Irregular Loop Specialization

Christopher Torng et al.

Summary: This paper addresses the challenges of irregular loop specialization using reconfigurable accelerator fabrics (CGRAs), proposing a novel elastic CGRA called ultra-elastic CGRAs (UE-CGRAs) that accelerates true-dependency bottlenecks and saves energy in irregular loops. The UE-CGRAs allow configurable fine-grain dynamic voltage and frequency scaling for each processing element in the CGRA, enabling efficient processing of irregular loops while also improving performance and energy efficiency compared to traditional CGRAs and RISC-V cores.

2021 27TH IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE (HPCA 2021) (2021)

Article Computer Science, Hardware & Architecture

Domain-Specific Hardware Accelerators

William J. Dally et al.

COMMUNICATIONS OF THE ACM (2020)

Review Multidisciplinary Sciences

There's plenty of room at the Top: What will drive computer performance after Moore's law?

Charles E. Leiserson et al.

SCIENCE (2020)

Proceedings Paper Computer Science, Hardware & Architecture

Accurate Operation Delay Prediction for FPGA HLS Using Graph Neural Networks

Ecenur Ustun et al.

2020 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER AIDED-DESIGN (ICCAD) (2020)

Proceedings Paper Computer Science, Hardware & Architecture

DSAGEN: Synthesizing Programmable Spatial Accelerators

Jian Weng et al.

2020 ACM/IEEE 47TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE (ISCA 2020) (2020)

Article Computer Science, Hardware & Architecture

An Energy-Efficient Integrated Programmable Array Accelerator and Compilation Flow for Near-Sensor Ultralow Power Processing

Satyajit Das et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2019)

Article Computer Science, Hardware & Architecture

A New Golden Age for Computer Architecture

John L. Hennessy et al.

COMMUNICATIONS OF THE ACM (2019)

Article Computer Science, Hardware & Architecture

CASCADE: High Throughput Data Streaming via Decoupled Access-Execute CGRA

Dhananjaya Wijerathne et al.

ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS (2019)

Article Computer Science, Hardware & Architecture

Data-Flow Graph Mapping Optimization for CGRA With Deep Reinforcement Learning

Dajiang Liu et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2019)

Proceedings Paper Engineering, Electrical & Electronic

HyCUBE: A 0.9V 26.4 MOPS/mW, 290 pJ/op, Power Efficient Accelerator for IoT Applications

Bo Wang et al.

2019 IEEE ASIAN SOLID-STATE CIRCUITS CONFERENCE (A-SSCC) (2019)

Proceedings Paper Computer Science, Hardware & Architecture

Scalable Interconnects for Reconfigurable Spatial Architectures

Yaqi Zhang et al.

PROCEEDINGS OF THE 2019 46TH INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE (ISCA '19) (2019)

Proceedings Paper Computer Science, Theory & Methods

PolySA: Polyhedral-Based Systolic Array Auto-Compilation

Jason Cong et al.

2018 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD) DIGEST OF TECHNICAL PAPERS (2018)

Proceedings Paper Computer Science, Hardware & Architecture

Hybrid Optimization/Heuristic Instruction Scheduling for Programmable Accelerator Codesign

Tony Nowatzki et al.

27TH INTERNATIONAL CONFERENCE ON PARALLEL ARCHITECTURES AND COMPILATION TECHNIQUES (PACT 2018) (2018)

Article Engineering, Electrical & Electronic

Eyeriss: An Energy-Efficient Reconfigurable Accelerator for Deep Convolutional Neural Networks

Yu-Hsin Chen et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2017)

Proceedings Paper Computer Science, Artificial Intelligence

Plasticine: A Reconfigurable Architecture For Parallel Patterns

Raghu Prabhakar et al.

44TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE (ISCA 2017) (2017)

Proceedings Paper Engineering, Electrical & Electronic

HyCUBE: A CGRA with Reconfigurable Single-cycle Multi-hop Interconnect

Manupa Karunaratne et al.

PROCEEDINGS OF THE 2017 54TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC) (2017)

Proceedings Paper Computer Science, Hardware & Architecture

End-to-end Deep Learning of Optimization Heuristics

Chris Cummins et al.

2017 26TH INTERNATIONAL CONFERENCE ON PARALLEL ARCHITECTURES AND COMPILATION TECHNIQUES (PACT) (2017)

Proceedings Paper Computer Science, Artificial Intelligence

In-Datacenter Performance Analysis of a Tensor Processing Unit

Norman P. Jouppi et al.

44TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE (ISCA 2017) (2017)

Article Computer Science, Theory & Methods

Efficient Control and Communication Paradigms for Coarse-Grained Spatial Architectures

Michael Pellauer et al.

ACM TRANSACTIONS ON COMPUTER SYSTEMS (2015)

Article Computer Science, Hardware & Architecture

Graph Minor Approach for Application Mapping on CGRAs

Liang Chen et al.

ACM TRANSACTIONS ON RECONFIGURABLE TECHNOLOGY AND SYSTEMS (2014)

Article Computer Science, Hardware & Architecture

DYSER: UNIFYING FUNCTIONALITY AND PARALLELISM SPECIALIZATION FOR ENERGY-EFFICIENT COMPUTING

Venkatraman Govindaraju et al.

IEEE MICRO (2012)