3.8 Proceedings Paper

Robotic Computing on FPGAs: Current Progress, Research Challenges, and Opportunities

Related references

Note: Only part of the references are listed.
Article Engineering, Electrical & Electronic

NeuroSLAM: A 65-nm 7.25-to-8.79-TOPS/W Mixed-Signal Oscillator-Based SLAM Accelerator for Edge Robotics

Jong-Hyeok Yoon et al.

Summary: This article introduces a low-power SLAM accelerator NeuroSLAM for edge robotics, which emulates cells in rodent brain using oscillators. By implementing a lightweight vision system, the accelerator achieves high energy efficiency, suitable for low-power applications.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2021)

Editorial Material Computer Science, Hardware & Architecture

Accelerator-Level Parallelism

Mark D. Hill et al.

Summary: Encouraging computer scientists to develop the science required to achieve the performance and cost goals of accelerator-level parallelism hardware and software.

COMMUNICATIONS OF THE ACM (2021)

Proceedings Paper Computer Science, Hardware & Architecture

Eudoxus: Characterizing and Accelerating Localization in Autonomous Machines

Yiming Gan et al.

Summary: This paper presents a new software-hardware co-designed framework for autonomous machine localization, adapting to different operating scenarios by fusing fundamental algorithmic primitives. Through software framework characterization, ideal acceleration candidates contributing significantly to end-to-end latency and/or latency variation are identified. Demonstrating about 2x speedup and 4x energy reduction compared to widely-deployed, optimized implementations on general-purpose platforms.

2021 27TH IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE (HPCA 2021) (2021)

Proceedings Paper Computer Science, Artificial Intelligence

iELAS: An ELAS-Based Energy-Efficient Accelerator for Real-Time Stereo Matching on FPGA Platform

Tian Gao et al.

Summary: Stereo matching is crucial for robot navigation and autonomous vehicles, and the ELAS algorithm strikes a good balance between efficiency and accuracy. This paper presents an energy-efficient architecture for real-time stereo matching based on ELAS on FPGA platform, achieving significant improvements in frame rate and energy efficiency compared to CPU implementations.

2021 IEEE 3RD INTERNATIONAL CONFERENCE ON ARTIFICIAL INTELLIGENCE CIRCUITS AND SYSTEMS (AICAS) (2021)

Proceedings Paper Computer Science, Hardware & Architecture

Robomorphic Computing: A Design Methodology for Domain-Specific Accelerators Parameterized by Robot Morphology

Sabrina M. Neuman et al.

Summary: Robotics applications require hardware accelerators to meet strict timing and computational demands, but defining automated hardware design flows is essential to maintain design agility. By transforming robot morphology into customized hardware accelerators, the performance gap in robot motion planning and control can be addressed effectively.

ASPLOS XXVI: TWENTY-SIXTH INTERNATIONAL CONFERENCE ON ARCHITECTURAL SUPPORT FOR PROGRAMMING LANGUAGES AND OPERATING SYSTEMS (2021)

Proceedings Paper Computer Science, Artificial Intelligence

An Energy-Efficient Quad-Camera Visual System for Autonomous Machines on FPGA Platform

Zishen Wan et al.

Summary: The paper introduces an energy-efficient hardware architecture for an ORB localization system based on FPGAs, with techniques to support a multi-sensor autonomous machine localization system. Compared to Nvidia TX1 and Intel i7, the FPGA-based implementation achieves significant speedup and power reduction.

2021 IEEE 3RD INTERNATIONAL CONFERENCE ON ARTIFICIAL INTELLIGENCE CIRCUITS AND SYSTEMS (AICAS) (2021)

Article Engineering, Electrical & Electronic

A Survey of FPGA-Based Robotic Computing

Zishen Wan et al.

Summary: Recent researches in robotics have shown significant improvements, with different platforms having their own advantages in handling the high complexity of robotic algorithms. FPGA-based robotic accelerators are becoming competitive alternatives in performance and energy efficiency, surpassing CPU and GPU in some scenarios.

IEEE CIRCUITS AND SYSTEMS MAGAZINE (2021)

Article Computer Science, Artificial Intelligence

Stereo vision architecture for heterogeneous systems-on-chip

Stefania Perri et al.

JOURNAL OF REAL-TIME IMAGE PROCESSING (2020)

Proceedings Paper Automation & Control Systems

OpenVINS: A Research Platform for Visual-Inertial Estimation

Patrick Geneva et al.

2020 IEEE INTERNATIONAL CONFERENCE ON ROBOTICS AND AUTOMATION (ICRA) (2020)

Proceedings Paper Automation & Control Systems

Learned Critical Probabilistic Roadmaps for Robotic Motion Planning

Brian Ichter et al.

2020 IEEE INTERNATIONAL CONFERENCE ON ROBOTICS AND AUTOMATION (ICRA) (2020)

Proceedings Paper Computer Science, Hardware & Architecture

FP-Stereo: Hardware-Efficient Stereo Vision for Embedded Applications

Jieru Zhao et al.

2020 30TH INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE LOGIC AND APPLICATIONS (FPL) (2020)

Proceedings Paper Computer Science, Hardware & Architecture

Automated Integration of High-Level Synthesis FPGA Modules with ROS2 Systems

Daniel Pinheiro Leal et al.

2020 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY (ICFPT 2020) (2020)

Proceedings Paper Computer Science, Hardware & Architecture

RECONROS: Flexible Hardware Acceleration for ROS2 Applications

Christian Lienen et al.

2020 INTERNATIONAL CONFERENCE ON FIELD-PROGRAMMABLE TECHNOLOGY (ICFPT 2020) (2020)

Article Computer Science, Hardware & Architecture

The Sky Is Not the Limit: A Visual Performance Model for Cyber-Physical Co-Design in Autonomous Machines

Srivatsan Krishnan et al.

IEEE COMPUTER ARCHITECTURE LETTERS (2020)

Article Engineering, Electrical & Electronic

Navion: A 2-mW Fully Integrated Real-Time Visual-Inertial Odometry Accelerator for Autonomous Navigation of Nano Drones

Amr Suleiman et al.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2019)

Proceedings Paper Computer Science, Artificial Intelligence

Benchmarking and Workload Analysis of Robot Dynamics Algorithms

Sabrina M. Neuman et al.

2019 IEEE/RSJ INTERNATIONAL CONFERENCE ON INTELLIGENT ROBOTS AND SYSTEMS (IROS) (2019)

Proceedings Paper Computer Science, Theory & Methods

Spectre Attacks: Exploiting Speculative Execution

Paul Kocher et al.

2019 IEEE SYMPOSIUM ON SECURITY AND PRIVACY (SP 2019) (2019)

Article Robotics

DroNet: Learning to Fly by Driving

Antonio Loquercio et al.

IEEE ROBOTICS AND AUTOMATION LETTERS (2018)

Article Robotics

Robust Stereo Visual Inertial Odometry for Fast Autonomous Flight

Ke Sun et al.

IEEE ROBOTICS AND AUTOMATION LETTERS (2018)

Proceedings Paper Computer Science, Hardware & Architecture

FireSim: FPGA-Accelerated Cycle-Exact Scale-Out System Simulation in the Public Cloud

Sagar Karandikar et al.

2018 ACM/IEEE 45TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE (ISCA) (2018)

Article Robotics

ORB-SLAM2: An Open-Source SLAM System for Monocular, Stereo, and RGB-D Cameras

Raul Mur-Artal et al.

IEEE TRANSACTIONS ON ROBOTICS (2017)

Article Robotics

GPU-based parallel collision detection for fast motion planning

Jia Pan et al.

INTERNATIONAL JOURNAL OF ROBOTICS RESEARCH (2012)