4.7 Article

The Impact of Ambient Temperature on Electrothermal Characteristics in Stacked Nanosheet Transistors with Multiple Lateral Stacks

Related references

Note: Only part of the references are listed.
Article Engineering, Electrical & Electronic

Investigation on dependency of thermal characteristics on gate/drain bias voltages in stacked nanosheet transistors

Peng Zhao et al.

Summary: In this paper, the gate/drain voltage-dependent self-heating effect in GAA NSFETs and FinFETs is investigated by 3-D TCAD simulation. It is found that the drain current decreases due to the self-heating effect and is dependent on the gate/drain voltage. The lattice maximum temperature-rise exhibits complex trends with increasing gate/drain voltages. Changes in thermal resistance due to the shift in hot spot location and the redistribution of heat source are also examined. The study provides design guidance for optimizing the thermal characteristics of GAA NSFETs.

MICROELECTRONICS JOURNAL (2023)

Article Engineering, Electrical & Electronic

Investigation of Self-Heating Effects in Vertically Stacked GAA MOSFET With Wrap-Around Contact

Seok Jung Kang et al.

Summary: Wrap-around contact (WAC) can effectively reduce contact resistance and improve the performance and reliability of MOSFETs.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2022)

Article Engineering, Electrical & Electronic

Analysis of Self-Heating Effects in Multi-Nanosheet FET Considering Bottom Isolation and Package Options

Changhyun Yoo et al.

Summary: This study analyzed the self-heating effects of multi-nanosheet FETs at the 3-nm technology node. The analysis considered the introduction of punchthrough-stopper doping and bottom oxide substrate processes. The study found that applying bottom oxide increased the channel temperature rise and that face-down package was more effective in heat dissipation. The study also analyzed the impact of self-heating effects on circuit performance and reliability, finding performance degradation and decreased lifetime in both logic and analog circuits.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2022)

Article Engineering, Electrical & Electronic

Thermal Conductivity Model to Analyze the Thermal Implications in Nanowire FETs

Nitish Kumar et al.

Summary: In this article, a thermal conductivity model is proposed for investigating the thermal behavior of SOI-based devices. The proposed model is simpler and easier to implement compared to existing models, and its predictions are consistent with experimental data and complex models. The thermal behavior of JL-NW FET depends on temperature, thickness, and doping concentration.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2022)

Article Chemistry, Multidisciplinary

Optimization of Structure and Electrical Characteristics for Four-Layer Vertically-Stacked Horizontal Gate-All-Around Si Nanosheets Devices

Qingzhu Zhang et al.

Summary: This paper systematically investigates the optimizations of vertically-stacked horizontal gate-all-around (GAA) Si nanosheet transistors on bulk Si substrate. The release process of NS channels and the influence of ground-plane (GP) doping on electrical characteristics of devices were carefully studied through experiments and simulations. The optimized stacked GAA Si NS devices achieved improved performance in terms of I-ON/I-OFF ratio, Subthreshold swings (SSs), and drain-induced barrier lowering (DIBLs).

NANOMATERIALS (2021)

Article Engineering, Electrical & Electronic

Ambient Temperature-Induced Device Self-Heating Effects on Multi-Fin Si CMOS Logic Circuit Performance in N-14 to N-7 Scaled Technologies

Sankatali Venkateswarlu et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2020)

Article Engineering, Electrical & Electronic

Self-Heating and Electrothermal Properties o Advanced Sub-5-nm Node Nanoplate FET

Ilho Myeong et al.

IEEE ELECTRON DEVICE LETTERS (2020)

Article Engineering, Electrical & Electronic

A Vertical Combo Spacer to Optimize Electrothermal Characteristics of 7-nm Nanosheet Gate-All-Around Transistor

Renhua Liu et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2020)

Article Engineering, Electrical & Electronic

Hetero-Interfacial Thermal Resistance Effects on Device Performance of Stacked Gate-All-Around Nanosheet FET

Sankatali Venkateswarlu et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2020)

Article Engineering, Electrical & Electronic

Analysis of Self Heating Effect in DC/AC Mode in Multi-Channel GAA-Field Effect Transistor

Ilho Myeong et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2019)

Article Engineering, Electrical & Electronic

Self-Heating Induced Interchannel Vt Difference of Vertically Stacked Si Nanosheet Gate-All-Around MOSFETs

Chia-Che Chung et al.

IEEE ELECTRON DEVICE LETTERS (2019)

Article Engineering, Electrical & Electronic

Impact of Self-Heating Effect on Transistor Characterization and Reliability Issues in Sub-10 nm Technology Nodes

Yi Zhao et al.

IEEE JOURNAL OF THE ELECTRON DEVICES SOCIETY (2019)

Article Engineering, Electrical & Electronic

Ambient Temperature-Induced Device Self-Heating Effects on Multi-Fin Si n-FinFET Performance

Sankatali Venkateswarlu et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2018)

Article Engineering, Electrical & Electronic

Layout Design Correlated With Self-Heating Effect in Stacked Nanosheet Transistors

Linlin Cai et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2018)

Article Engineering, Electrical & Electronic

Modeling of Effective Thermal Resistance in Sub-14-nm Stacked Nanowire and FinFETs

Ishita Jain et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2018)

Article Engineering, Electrical & Electronic

The Impact of Self-Heating on HCI Reliability in High-Performance Digital Circuits

Hai Jiang et al.

IEEE ELECTRON DEVICE LETTERS (2017)

Article Engineering, Electrical & Electronic

Experimental investigation of self heating effect (SHE) in multiple-fin SOI FinFETs

Hai Jiang et al.

SEMICONDUCTOR SCIENCE AND TECHNOLOGY (2014)