3.8 Proceedings Paper

Efficient Intra-Rack Resource Disaggregation for HPC Using Co-Packaged DWDM Photonics

Related references

Note: Only part of the references are listed.
Article Engineering, Electrical & Electronic

Petabit-Scale Silicon Photonic Interconnects With Integrated Kerr Frequency Combs

Anthony Rizzo et al.

Summary: Silicon photonics has great potential in improving optical interconnects in data centers and high performance computers, enabling higher transmission rates and lower energy consumption. This study reviews recent progress in silicon photonic interconnects, with a focus on chip-scale Kerr frequency comb sources, and provides a comprehensive overview of scalable silicon photonic systems. Experimental results demonstrate the feasibility of volume manufacturing for this technology.

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS (2023)

Proceedings Paper Computer Science, Artificial Intelligence

Pond: CXL-Based Memory Pooling Systems for Cloud Platforms

Huaicheng Li et al.

Summary: This paper proposes Pond, a memory pooling system that meets cloud performance goals while significantly reducing DRAM cost. By analyzing cloud production traces, Pond determines the optimal range for pooling and utilizes machine learning models to accurately allocate local and pool memory, achieving similar performance to same-NUMA-node memory.

PROCEEDINGS OF THE 28TH ACM INTERNATIONAL CONFERENCE ON ARCHITECTURAL SUPPORT FOR PROGRAMMING LANGUAGES AND OPERATING SYSTEMS, VOL 2, ASPLOS 2023 (2023)

Article Computer Science, Hardware & Architecture

A Case For Intra-rack Resource Disaggregation in HPC

George Michelogiannakis et al.

Summary: With the emergence of specialized accelerators, there is a need for more flexible resource allocation in HPC systems. This study analyzes NERSC's Cori system and profiles deep-learning applications to investigate hardware resource disaggregation. The results demonstrate that intra-rack disaggregation can effectively locate required resources and reduce the utilization of memory and NICs.

ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION (2022)

Proceedings Paper Computer Science, Hardware & Architecture

The Specialized High-Performance Network on Anton 3

Keun Sup Shim et al.

Summary: This paper presents the three key features of the specialized network in Anton 3 supercomputer that contribute to its high performance in molecular dynamics simulation, including low latency inter-node communication, application-specific compression techniques, and fast fine-grained synchronization. These specialized optimizations enable Anton 3 to outperform other machines in MD simulations.

2022 IEEE INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE COMPUTER ARCHITECTURE (HPCA 2022) (2022)

Article Engineering, Electrical & Electronic

1.6 Tbps Silicon Photonics Integrated Circuit and 800 Gbps Photonic Engine for Switch Co-Packaging Demonstration

Saeed Fathololoumi et al.

Summary: This article describes the performance of high bandwidth-density silicon photonic based integrated circuits, showcasing the first fully functional photonic engine module co-packaged with an Ethernet switch. A 1.6 Tbps SiPh transmitter IC was demonstrated, integrating on-die all components needed to support sixteen 106.25 Gbps PAM4 optical transmit channels. The photonic engine is designed to allow up to sixteen modules to be co-packaged around a high-bandwidth switch ASIC.

JOURNAL OF LIGHTWAVE TECHNOLOGY (2021)

Review Engineering, Electrical & Electronic

Co-packaged datacenter optics: Opportunities and challenges

Cyriel Minkenberg et al.

Summary: High-capacity, high-density, power-, and cost-efficient optical links are crucial for datacenter infrastructure. The optics roadmap must now decide between continuing with pluggable modules or adopting a new model involving co-packaged optics. This article explores the trade-offs, enabling technologies, paths to adoption, and potential impact on datacenter network architecture.

IET OPTOELECTRONICS (2021)

Proceedings Paper Engineering, Electrical & Electronic

Co-packaged optics for HPC and data center networks

Pavlos Maniotis et al.

Summary: The integration of optics onto the 1st-level package, known as co-packaged optics (CO), is a promising solution to overcome challenges in bandwidth density and thermal cooling limits in the switch industry. CO offers increased escape bandwidth, enabling high-radix switch implementations with >150 ports and high data rates of >= 400 Gb/s per port. Additionally, using CO in network design allows for building large-scale fat-tree topologies with over 11,000 end points and providing 4x higher bisection bandwidth while reducing the number of required switch ASICs.

OPTICAL INTERCONNECTS XXI (2021)

Proceedings Paper Computer Science, Hardware & Architecture

Hybrid, Scalable, Trace -Driven Performance Modeling of CPCPUs

Yehia Arafa et al.

Summary: This paper presents a scalable performance prediction toolkit for GPUs, achieving accurate capture of dynamic behavior of GPU kernels and high correlation with actual hardware metrics.

SC21: INTERNATIONAL CONFERENCE FOR HIGH PERFORMANCE COMPUTING, NETWORKING, STORAGE AND ANALYSIS (2021)

Article Computer Science, Hardware & Architecture

Accelerators for Artificial Intelligence and High-Performance Computing

Dejan Milojicic

COMPUTER (2020)

Article Engineering, Electrical & Electronic

Disaggregated Data Centers: Challenges and Trade-offs

Rui Lin et al.

IEEE COMMUNICATIONS MAGAZINE (2020)

Article Computer Science, Hardware & Architecture

Toward FPGA-Based HPC: Advancing Interconnect Technologies

Joshua Lant et al.

IEEE MICRO (2020)

Article Optics

Push-pull microring-assisted space-and-wavelength selective switch

Yishen Huang et al.

OPTICS LETTERS (2020)

Article Engineering, Electrical & Electronic

Silicon Photonic 2.5D Multi-Chip Module Transceiver for High-Performance Data Centers

Nathan C. Abrams et al.

JOURNAL OF LIGHTWAVE TECHNOLOGY (2020)

Article Optics

Large-scale silicon photonics switch based on 45-nm CMOS technology

Kazuhiro Ikeda et al.

OPTICS COMMUNICATIONS (2020)

Article Computer Science, Hardware & Architecture

PINE: Photonic Integrated Networked Energy efficient datacenters (ENLITENED Program) [Invited]

Madeleine Glick et al.

JOURNAL OF OPTICAL COMMUNICATIONS AND NETWORKING (2020)

Proceedings Paper Computer Science, Hardware & Architecture

PCI Express® 6.0 Specification at 64.0 GT/s with PAM-4 signaling: a low latency, high bandwidth, high reliability and cost-effective interconnect

Debendra Das Sharma

2020 IEEE SYMPOSIUM ON HIGH-PERFORMANCE INTERCONNECTS (HOTI 2020) (2020)

Proceedings Paper Computer Science, Hardware & Architecture

A Scalable Architecture for CNN Accelerators Leveraging High-Performance Memories

Maarten Hattink et al.

2020 IEEE HIGH PERFORMANCE EXTREME COMPUTING CONFERENCE (HPEC) (2020)

Proceedings Paper Computer Science, Information Systems

TAGO: Rethinking Routing Design in High Performance Reconfigurable Networks

Min Yee Teh et al.

PROCEEDINGS OF SC20: THE INTERNATIONAL CONFERENCE FOR HIGH PERFORMANCE COMPUTING, NETWORKING, STORAGE AND ANALYSIS (SC20) (2020)

Proceedings Paper Computer Science, Information Systems

Architecture and Performance Studies of 3D-Hyper-FleX-LION for Reconfigurable All-to-All HPC Networks

Gengchen Liu et al.

PROCEEDINGS OF SC20: THE INTERNATIONAL CONFERENCE FOR HIGH PERFORMANCE COMPUTING, NETWORKING, STORAGE AND ANALYSIS (SC20) (2020)

Proceedings Paper Computer Science, Information Systems

An In-Depth Analysis of the Slingshot Interconnect

Daniele De Sensi et al.

PROCEEDINGS OF SC20: THE INTERNATIONAL CONFERENCE FOR HIGH PERFORMANCE COMPUTING, NETWORKING, STORAGE AND ANALYSIS (SC20) (2020)

Proceedings Paper Computer Science, Hardware & Architecture

Evaluation of an InfiniBand Switch: Choose Latency or Bandwidth, but Not Both

M. R. Siavash Katebzadeh et al.

2020 IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE (ISPASS) (2020)

Proceedings Paper Computer Science, Hardware & Architecture

Optically Connected Memory for Disaggregated Data Centers

Jorge Gonzalez et al.

2020 IEEE 32ND INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE AND HIGH PERFORMANCE COMPUTING (SBAC-PAD 2020) (2020)

Proceedings Paper Engineering, Electrical & Electronic

PCIe Gen-5 Design Challenges of High-Speed Servers

Mallikarjun Vasa et al.

2020 IEEE 29TH CONFERENCE ON ELECTRICAL PERFORMANCE OF ELECTRONIC PACKAGING AND SYSTEMS (EPEPS 2020) (2020)

Proceedings Paper Computer Science, Hardware & Architecture

Shuhai: Benchmarking High Bandwidth Memory on FPGAs

Zeke Wang et al.

28TH IEEE INTERNATIONAL SYMPOSIUM ON FIELD-PROGRAMMABLE CUSTOM COMPUTING MACHINES (FCCM) (2020)

Article Computer Science, Hardware & Architecture

Disaggregated Cloud Memory with Elastic Block Management

Kwangwon Koh et al.

IEEE TRANSACTIONS ON COMPUTERS (2019)

Article Computer Science, Hardware & Architecture

Performance Assessment of Emerging Memories Through FPGA Emulation

Abhishek Kumar Jain et al.

IEEE MICRO (2019)

Article Engineering, Electrical & Electronic

Foundry-Enabled Scalable All-to-All Optical Interconnects Using Silicon Nitride Arrayed Waveguide Router Interposers and Silicon Photonic Transceivers

Yu Zhang et al.

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS (2019)

Article Engineering, Electrical & Electronic

Scalable Microring-Based Silicon Clos Switch Fabric With Switch-and-Select Stages

Qixiang Cheng et al.

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS (2019)

Article Optics

Turn-key, high-efficiency Kerr comb source

Bok Young Kim et al.

OPTICS LETTERS (2019)

Article Engineering, Electrical & Electronic

Fast Wavelength-Tunable Lasers on Silicon

Soren Dhoore et al.

IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS (2019)

Proceedings Paper Computer Science, Hardware & Architecture

Compute Express Link

S. Van Doren

2019 IEEE SYMPOSIUM ON HIGH-PERFORMANCE INTERCONNECTS (HOTI 2019) (2019)

Proceedings Paper Computer Science, Hardware & Architecture

QuADD : QUantifying Accelerator Disaggregated Datacenter efficiency

Anubhav Guleria et al.

2019 IEEE 12TH INTERNATIONAL CONFERENCE ON CLOUD COMPUTING (IEEE CLOUD 2019) (2019)

Proceedings Paper Computer Science, Theory & Methods

HyperX Topology: First At-Scale Implementation and Comparison to the Fat-Tree

Jens Domke et al.

PROCEEDINGS OF SC19: THE INTERNATIONAL CONFERENCE FOR HIGH PERFORMANCE COMPUTING, NETWORKING, STORAGE AND ANALYSIS (2019)

Proceedings Paper Computer Science, Hardware & Architecture

TensorFlow on state-of-the-art HPC clusters: a machine learning use case

Guillem Ramirez-Gargallo et al.

2019 19TH IEEE/ACM INTERNATIONAL SYMPOSIUM ON CLUSTER, CLOUD AND GRID COMPUTING (CCGRID) (2019)

Proceedings Paper Computer Science, Theory & Methods

Scheduling Beyond CPUs for HPC

Yuping Fan et al.

HPDC'19: PROCEEDINGS OF THE 28TH INTERNATIONAL SYMPOSIUM ON HIGH-PERFORMANCE PARALLEL AND DISTRIBUTED COMPUTING (2019)

Article Engineering, Electrical & Electronic

Realization and Application of Large-Scale Fast Optical Circuit Switch for Data Center Networking

Ken-ichi Sato

JOURNAL OF LIGHTWAVE TECHNOLOGY (2018)

Article Computer Science, Hardware & Architecture

Optically Disaggregated Data Centers With Minimal Remote Memory Latency: Technologies, Architectures, and Resource Allocation [Invited]

Georgios Zervas et al.

JOURNAL OF OPTICAL COMMUNICATIONS AND NETWORKING (2018)

Article Computer Science, Hardware & Architecture

High-Port and Low-Latency Optical Switches for Disaggregated Data Centers: The Hipoλaos Switch Architecture

N. Terzenidis et al.

JOURNAL OF OPTICAL COMMUNICATIONS AND NETWORKING (2018)

Proceedings Paper Computer Science, Hardware & Architecture

Latency Insensitive Design Styles for FPGAs

Mustafa Abbas et al.

2018 28TH INTERNATIONAL CONFERENCE ON FIELD PROGRAMMABLE LOGIC AND APPLICATIONS (FPL) (2018)

Proceedings Paper Computer Science, Hardware & Architecture

LATTE-CC: Latency Tolerance Aware Adaptive Cache Compression Management for Energy Efficient GPUs

Akhil Arunkumar et al.

2018 24TH IEEE INTERNATIONAL SYMPOSIUM ON HIGH PERFORMANCE COMPUTER ARCHITECTURE (HPCA) (2018)

Article Computer Science, Hardware & Architecture

Survey of Photonic Switching Architectures and Technologies in Support of Spatially and Spectrally Flexible Optical Networking [Invited]

Dan M. Marom et al.

JOURNAL OF OPTICAL COMMUNICATIONS AND NETWORKING (2017)

Article Computer Science, Theory & Methods

Using Switchable Pins to Increase Off-Chip Bandwidth in Chip-Multiprocessors

Shaoming Chen et al.

IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS (2017)

Article Nanoscience & Nanotechnology

Modular architecture for fully non-blocking silicon photonic switch fabric

Dessislava Nikolova et al.

MICROSYSTEMS & NANOENGINEERING (2017)

Proceedings Paper Computer Science, Hardware & Architecture

SharP: Towards Programming Extreme-Scale Systems with Hierarchical Heterogeneous Memory

Manjunath Gorentla Venkata et al.

2017 46TH INTERNATIONAL CONFERENCE ON PARALLEL PROCESSING WORKSHOPS (ICPPW) (2017)

Proceedings Paper Engineering, Electrical & Electronic

Heterogeneous interposer based integration of chips with copper pillars and C4 balls to achieve high speed interfaces for ADC application

Michael Dittrich et al.

2017 IEEE 67TH ELECTRONIC COMPONENTS AND TECHNOLOGY CONFERENCE (ECTC 2017) (2017)

Proceedings Paper Computer Science, Hardware & Architecture

Effective Running of End-to-end HPC Workflows on Emerging Heterogeneous Architectures

Kun Tang et al.

2017 IEEE INTERNATIONAL CONFERENCE ON CLUSTER COMPUTING (CLUSTER) (2017)

Proceedings Paper Computer Science, Artificial Intelligence

In-Datacenter Performance Analysis of a Tensor Processing Unit

Norman P. Jouppi et al.

44TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE (ISCA 2017) (2017)

Article Optics

Roadmap of optical communications

Erik Agrell et al.

JOURNAL OF OPTICS (2016)

Article Multidisciplinary Sciences

Reconfigurable SDM Switching Using Novel Silicon Photonic Integrated Circuit

Yunhong Ding et al.

SCIENTIFIC REPORTS (2016)

Proceedings Paper Computer Science, Theory & Methods

Towards Understanding Job Heterogeneity in HPC: A NERSC Case Study

Gonzalo P. Rodrigo et al.

2016 16TH IEEE/ACM INTERNATIONAL SYMPOSIUM ON CLUSTER, CLOUD AND GRID COMPUTING (CCGRID) (2016)

Proceedings Paper Computer Science, Theory & Methods

A Survey of Homogeneous and Heterogeneous System Architectures in High Performance Computing

Yuxiang Gao et al.

2016 IEEE INTERNATIONAL CONFERENCE ON SMART CLOUD (SMARTCLOUD) (2016)

Article Computer Science, Theory & Methods

A Survey of CPU-GPU Heterogeneous Computing Techniques

Sparsh Mittal et al.

ACM COMPUTING SURVEYS (2015)

Article Engineering, Electrical & Electronic

400 Gigabit Ethernet Using Advanced Modulation Formats: Performance, Complexity, and Power Dissipation

Jinlong Wei et al.

IEEE COMMUNICATIONS MAGAZINE (2015)

Article Engineering, Electrical & Electronic

A Scalable AWGR-Based Optical Switch

Chin-Tau Lea

JOURNAL OF LIGHTWAVE TECHNOLOGY (2015)

Proceedings Paper Computer Science, Theory & Methods

Revisiting Memory Errors in Large-Scale Production Data Centers: Analysis and Modeling of New Trends from the Field

Justin Meza et al.

2015 45TH ANNUAL IEEE/IFIP INTERNATIONAL CONFERENCE ON DEPENDABLE SYSTEMS AND NETWORKS (2015)

Article Computer Science, Theory & Methods

Novel Flow Control for Fully Adaptive Routing in Cache-Coherent NoCs

Sheng Ma et al.

IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS (2014)

Article Engineering, Electrical & Electronic

A Large-Scale Wavelength Routing Optical Switch for Data Center Networks

Ken-ichi Sato et al.

IEEE COMMUNICATIONS MAGAZINE (2013)

Article Engineering, Electrical & Electronic

Scalable Optical Interconnect Architecture Using AWGR-Based TONAK LION Switch With Limited Number of Wavelengths

Roberto Proietti et al.

JOURNAL OF LIGHTWAVE TECHNOLOGY (2013)

Proceedings Paper Computer Science, Interdisciplinary Applications

Accelerating large-scale HPC Applications using FPGAs

Rob Dimond et al.

2011 20TH IEEE SYMPOSIUM ON COMPUTER ARITHMETIC (ARITH-20) (2011)

Article Computer Science, Hardware & Architecture

Efficient runahead execution: Power-efficient memory latency tolerance

O Mutlu et al.

IEEE MICRO (2006)

Article Computer Science, Hardware & Architecture

Improving latency tolerance of multithreading through decoupling

JM Parcerisa et al.

IEEE TRANSACTIONS ON COMPUTERS (2001)