4.1 Article

Computing in Memory Using Doubled STT-MRAM With the Application of Binarized Neural Networks

Related references

Note: Only part of the references are listed.
Article Engineering, Electrical & Electronic

An RRAM-Based Digital Computing-in-Memory Macro With Dynamic Voltage Sense Amplifier and Sparse-Aware Approximate Adder Tree

Yifan He et al.

Summary: RRAM is a promising option for large-capacity in-memory computing on edge AI devices, but its efficiency and accuracy are limited by large accumulation currents and device variations. The proposed digital RRAM CIM macro achieves a better trade-off between accuracy, energy, and performance through three techniques. It reduces read currents, decreases the area of the adder tree, and minimizes the accuracy loss of approximate arithmetic. The design outperforms previous RRAM-based designs in terms of energy efficiency and throughput.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS (2023)

Article Engineering, Electrical & Electronic

A Variation-Aware Ternary True Random Number Generator Using Magnetic Tunnel Junction at Subcritical Current Regime

Fatemeh Khodayari et al.

Summary: This article proposes a variation-aware ternary true random number generator (TTRNG) that utilizes stochastic switching of the magnetic tunnel junction (MTJ) and carbon nanotube field-effect transistors (CNTFET). The TTRNG generates ternary random numbers directly without the need for any converters. The combination of the stochastic behavior of the MTJ and the adjustability of the CNTFET threshold voltage allows for the implementation of ternary logic circuits. The proposed circuit is shown to operate correctly through circuit-level simulations and statistical simulations verify the excellent quality of the ternary sequence generated, even in the presence of process and voltage variations. The TTRNG has potential applications in cryptography and Monte Carlo simulations, and it can be implemented using FinFET technology.

IEEE TRANSACTIONS ON MAGNETICS (2023)

Article Engineering, Electrical & Electronic

BP-SCIM: A Reconfigurable 8T SRAM Macro for Bit-Parallel Searching and Computing In-Memory

Yuzong Chen et al.

Summary: This paper presents BP-SCIM, a reconfigurable 8T SRAM macro for bit-parallel searching and computing in-memory. The 8T SRAM bit-cell used in BP-SCIM has decoupled read/write ports to eliminate read disturbance during search and CIM operations. The BP-SCIM can support in-memory Boolean logic and arithmetic operations, and includes novel CIM-friendly algorithms and circuits to reduce latency for complex arithmetic operations. Moreover, it can be configured as a binary or ternary CAM for fast searching.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2023)

Article Engineering, Electrical & Electronic

A Brain-Inspired ADC-Free SRAM-Based In-Memory Computing Macro With High-Precision MAC for AI Application

Zihao Xuan et al.

Summary: This paper proposes an ADC-free SRAM-based IMC macro that achieves energy-efficient and high-precision MAC operation by utilizing brain-inspired computing. It introduces two key features, including the use of temporal-coding spiking neuron circuit for high-efficiency data conversion and digital adder tree logic for increased parallelism of calculations. The designed mixed-signal SRAM-based IMC macro is specifically intended for processing AI algorithms with reconfigurable precisions based on bit-wise input and weight.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS (2023)

Article Engineering, Electrical & Electronic

Enabling Energy-Efficient In-Memory Computing With Robust Assist-Based Reconfigurable Sense Amplifier in SRAM Array

Kavitha Soundrapandiyan et al.

Summary: This study proposes a feasible SRAM compute cache with a sense amplifier-based approach for in/near-memory computing, using a novel reconfigurable assist sense amplifier (RASA) to perform Boolean computations. The RASA utilizes assist transistors to achieve NAND, NOR, and XNOR operations without affecting normal read transparency, resulting in fast and reliable sensing with only one sense amplifier.

IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS (2023)

Article Engineering, Electrical & Electronic

AM4: MRAM Crossbar Based CAM/TCAM/ACAM/AP for In-Memory Computing

Esteban Garzon et al.

Summary: In-memory computing reduces data movement and addresses the memory wall by performing computations in the same location as the data. This paper introduces AM4, a design that combines STT-MTJ-based CAM, TCAM, ACAM, and in-memory AP inspired by Samsung MRAM crossbar. The study demonstrates the superior performance (with an average speedup of 10x) and energy-efficiency (around 60x improvement on average) of the AM4-based AP over existing solutions.

IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS (2023)

Article Engineering, Electrical & Electronic

Sky-TCAM: Low-Power Skyrmion-Based Ternary Content Addressable Memory

Ruifu Zhang et al.

Summary: This research introduces a low-cost content-addressable memory (CAM) suitable for applications requiring high-speed search, such as network routers and machine learning. The proposed design is a low-power, compact, fast magnetic skyrmion-based ternary CAM (Sky-TCAM) cell, consisting of five transistors and two magnetic tunnel junctions (MTJs). The skyrmion appearing beneath one MTJ causes the discharge of the matchline (ML) when a mismatch occurs. Compared to non-volatile (NV) ternary CAMs (TCAMs), Sky-TCAM has a comparable search energy-delay-product (EDP), but it shows the lowest EDP among all TCAMs compared, with a value of 8.74 x 10(-25) J . s. The research demonstrates the promise of Sky-TCAM for constructing low-power and low-latency computation applications.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2023)

Article Computer Science, Hardware & Architecture

A Nonvolatile Compute-in-Memory Macro Using Voltage-Controlled MRAM and In Situ Magnetic-to-Digital Converter

Vinod Kurian Jacob et al.

Summary: The use of Compute-in-memory (CIM) accelerators with nonvolatile memories, such as VC-MRAM, can significantly improve energy efficiency and density in deep learning applications. This article presents the design and simulation results of a VC-MRAM CIM macro, which enables analog MAC computation with high accuracy and efficiency.

IEEE JOURNAL ON EXPLORATORY SOLID-STATE COMPUTATIONAL DEVICES AND CIRCUITS (2023)

Article Computer Science, Information Systems

A Flexible and Reliable RRAM-Based In-Memory Computing Architecture for Data-Intensive Applications

Nima Eslami et al.

Summary: This article proposes a practical, flexible, and reliable in-memory computing architecture for resistive-memory-based logic designs. The proposed architecture reduces power-delay product and achieves lower energy consumption compared to existing designs with the help of RRAM devices and reconfigurable sensing amplifiers. Simulation results show that the suggested architecture performs better than state-of-the-art designs and a novel sense amplifier is also introduced to handle resistive-resistive-based inputs.

IEEE TRANSACTIONS ON EMERGING TOPICS IN COMPUTING (2023)

Article Engineering, Electrical & Electronic

A Novel Computing-in-Memory Platform Based on Hybrid Spintronic/CMOS Memory

Zhi Yang et al.

Summary: This research proposes a novel CiM platform based on hybrid spintronic/CMOS memory, which can perform computational tasks in memory mode. The experiment results demonstrate that the platform can achieve complex logic functions and arithmetic operations, while improving performance and resistance margins.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2022)

Article Nanoscience & Nanotechnology

A skyrmion content-addressable cell for skyrmion magnetic memories

Luca Gnoli et al.

Summary: CAM allows parallel processing of stored data for pattern searching, and beyond-CMOS technologies provide opportunities for improving CAM implementations at both device and architectural levels. This article proposes a ternary CAM cell based on skyrmion technology, which enables non-destructive search operations with high storage density.

NANOTECHNOLOGY (2022)

Article Engineering, Electrical & Electronic

STT-BNN: A Novel STT-MRAM In-Memory Computing Macro for Binary Neural Networks

Thi-Nhan Pham et al.

Summary: This paper presents a novel architecture based on STT-MRAM arrays for in-memory computation of binary neural network (BNN) workloads. The proposed architecture achieves BNN vector multiplication by single sensing of the merged SL voltage of a row through bitline transmission of BNN inputs. It allows unrestricted accumulation across rows, overcomes challenges on the sensing circuit, and introduces circuit techniques for energy-speed-area-robustness tradeoff. System simulations demonstrate high accuracy under process variations and an energy efficiency of 311 TOPS/W.

IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS (2022)

Article Computer Science, Information Systems

A Reliable and Energy-Efficient Nonvolatile Ternary Memory Based on Hybrid FinFET/RRAM Technology

Aram Yousefi et al.

Summary: This paper proposes a reliable nonvolatile 3-transistor 1-RRAM (3T1R) ternary memory cell for enhancing data storage density and reducing interconnect complexity. The proposed design is demonstrated to have superior functionality, performance, and reliability through comprehensive post-layout simulations.

IEEE ACCESS (2022)

Article Computer Science, Information Systems

MagCiM: A Flexible and Non-Volatile Computing-in-Memory Processor for Energy-Efficient Logic Computation

Vahid Jamshidi et al.

Summary: This paper presents a high-performance and energy efficient processor, called the MagCiM processor, which utilizes a Magnetoresistive-based Computing-in-Memory array architecture to improve processor performance and energy efficiency. Circuit-level simulation results show that the MagCiM processor has a smaller footprint, lower power and energy consumption, and offers fast instant-on computing capability, making it suitable for embedded system applications.

IEEE ACCESS (2022)

Article Computer Science, Hardware & Architecture

Spatio-Temporal Optimization of Deep Neural Networks for Reconfigurable FPGA SoCs

Biruk Seyoum et al.

Summary: This article proposes a technique for optimizing the timing performance and resource consumption of hardware accelerators for DNN inference on FPGA SoC platforms, using chunk decomposition and dynamic partial reconfiguration. Accurate models of resource consumption and timing provided by the Xilinx FINN framework are utilized to minimize inference time while meeting FPGA area constraints. Experimental results on Zynq-7000 platforms demonstrate consistent improvements over stock and static FPGA configurations.

IEEE TRANSACTIONS ON COMPUTERS (2021)

Article Engineering, Electrical & Electronic

A Dual-Mode In-Memory Computing Unit Using Spin Hall-Assisted MRAM for Data-Intensive Applications

Kanika Monga et al.

Summary: This study addresses the von Neumann bottleneck in traditional computing systems posed by emerging big data applications, proposing an in-memory computing unit called C-MRAM based on MRAM. By conducting computations within memory, energy consumption is reduced, and an approximate adder for energy-constraint applications is achieved. Additionally, utilizing the C-MRAM array in a hybrid multi-bank architecture allows for flexibility in reconfiguring the array to meet the needs of various applications.

IEEE TRANSACTIONS ON MAGNETICS (2021)

Article Computer Science, Information Systems

POLYBiNN: Binary Inference Engine for Neural Networks using Decision Trees

Ahmed M. Abdelsalam et al.

JOURNAL OF SIGNAL PROCESSING SYSTEMS FOR SIGNAL IMAGE AND VIDEO TECHNOLOGY (2020)

Article Engineering, Electrical & Electronic

Design of Magnetic Non-Volatile TCAM With Priority-Decision in Memory Technology for High Speed, Low Power, and High Reliability

Chengzhi Wang et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2020)

Article Engineering, Electrical & Electronic

In-Memory Low-Cost Bit-Serial Addition Using Commodity DRAM Technology

Mustafa E. Ali et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2020)

Article Engineering, Electrical & Electronic

Magnetic Nonvolatile SRAM Based on Voltage-Gated Spin-Orbit-Torque Magnetic Tunnel Junctions

Chengzhi Wang et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2020)

Article Engineering, Electrical & Electronic

i-SRAM: Interleaved Wordlines for Vector Boolean Operations Using SRAMs

Akhilesh Jaiswal et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2020)

Article Computer Science, Hardware & Architecture

Reconfigurable 2T2R ReRAM Architecture for Versatile Data Storage and Computing In-Memory

Yuzong Chen et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2020)

Review Engineering, Electrical & Electronic

Rediscovering Majority Logic in the Post-CMOS Era: A Perspective from In-Memory Computing

John Reuben

JOURNAL OF LOW POWER ELECTRONICS AND APPLICATIONS (2020)

Article Engineering, Electrical & Electronic

X-SRAM: Enabling In-Memory Boolean Computations in CMOS Static Random Access Memories

Amogh Agrawal et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2018)

Article Computer Science, Hardware & Architecture

Computing in Memory With Spin-Transfer Torque Magnetic RAM

Shubham Jain et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2018)

Article Computer Science, Information Systems

Exploring a SOT-MRAM Based In-Memory Computing for Data Processing

Zhezhi He et al.

IEEE TRANSACTIONS ON MULTI-SCALE COMPUTING SYSTEMS (2018)

Article Engineering, Electrical & Electronic

A 10T-4MTJ Nonvolatile Ternary CAM Cell for Reliable Search Operation and a Compact Area

Byungkyu Song et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS (2017)

Article Computer Science, Hardware & Architecture

Design and Analysis of STTRAM-Based Ternary Content Addressable Memory Cell

Rekha Govindaraj et al.

ACM JOURNAL ON EMERGING TECHNOLOGIES IN COMPUTING SYSTEMS (2017)

Article Engineering, Electrical & Electronic

ASAP7: A 7-nm finFET predictive process design kit

Lawrence T. Clark et al.

MICROELECTRONICS JOURNAL (2016)

Article Physics, Applied

Perpendicular-anisotropy magnetic tunnel junction switched by spin-Hall-assisted spin-transfer torque

Zhaohao Wang et al.

JOURNAL OF PHYSICS D-APPLIED PHYSICS (2015)