4.6 Article

A Resource-Efficient Keyword Spotting System Based on a One-Dimensional Binary Convolutional Neural Network

Related references

Note: Only part of the references are listed.
Article Engineering, Electrical & Electronic

AAD-KWS: A Sub-μW Keyword Spotting Chip With an Acoustic Activity Detector Embedded in MFCC and a Tunable Detection Window in 28-nm CMOS

Weiwei Shan et al.

Summary: Researchers propose a sub-microwatt keyword spotting (KWS) chip with an acoustic activity detection (AAD) to achieve ultra-low power and high detection accuracy. The chip utilizes an optimized feature extractor circuit, a zero-cost AAD, a tunable detection window, and a true form computation method. Implemented in a 28-nm CMOS process, the chip demonstrates promising performance with a 97.8% accuracy for two keywords in the Google Speech Command Dataset (GSCD).

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2023)

Article Chemistry, Analytical

FPGA Implementation of Keyword Spotting System Using Depthwise Separable Binarized and Ternarized Neural Networks

Seongwoo Bae et al.

Summary: This paper proposes a DS-BTNN hardware accelerator capable of performing both wake-up-word recognition and command classification on a single device, achieving significant area efficiency. The designed KWS system on an FPGA board achieved high accuracy in wake-up-word recognition and command classification.

SENSORS (2023)

Article

Convolutional Neural Networks: A Survey

Moez Krichen

Computers (2023)

Proceedings Paper Computer Science, Hardware & Architecture

A Real-Time Keyword Spotting System Based on an End-To-End Binary Convolutional Neural Network in FPGA

Jinsung Yoon et al.

Summary: This paper presents a real-time keyword spotting system implemented in an FPGA. The system utilizes a binary convolutional neural network (BCNN) to perform the entire KWS task without involving complicated processing. The proposed system efficiently carries out BCNN inference by skipping redundant operations. It has been implemented with only 8475 lookup tables in an FPGA and achieves a spotting accuracy of 91.64% by processing one-second frame in 19.8 ms.

2023 IEEE SYMPOSIUM IN LOW-POWER AND HIGH-SPEED CHIPS, COOL CHIPS (2023)

Article Engineering, Electrical & Electronic

More is Less: Domain-Specific Speech Recognition Microprocessor Using One-Dimensional Convolutional Recurrent Neural Network

Bo Liu et al.

Summary: This study aims to improve the accuracy of low-power keywords recognition and proposes a domain-specific speech recognition microprocessor based on 1D-CRNN. An energy-efficient accelerator is implemented to reduce power consumption while maintaining high recognition accuracy.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I-REGULAR PAPERS (2022)

Article Computer Science, Artificial Intelligence

A Survey of Convolutional Neural Networks: Analysis, Applications, and Prospects

Zewen Li et al.

Summary: This review provides insights into the development history of CNN, a overview of various convolutions, introduction to classic and advanced CNN models, conclusions drawn from experimental analysis, rules of thumb for function and hyperparameter selection, and applications of 1-D, 2-D, and multidimensional convolutions. Moreover, it also discusses open issues and promising directions for CNN as guidelines for future work.

IEEE TRANSACTIONS ON NEURAL NETWORKS AND LEARNING SYSTEMS (2022)

Article Computer Science, Information Systems

TORRES: A Resource-Efficient Inference Processor for Binary Convolutional Neural Networks Based on Locality-Aware Operation Skipping

Su-Jung Lee et al.

Summary: A binary convolutional neural network (BCNN) is designed for efficient visual imagery analysis in low-cost resource-limited devices. This study introduces an inference processor named TORRES for BCNNs, which skips operations efficiently based on the spatial locality in feature maps to achieve high efficiency. The prototype system has been implemented on a 28 nm field-programmable gate array, demonstrating resource efficiency.

ELECTRONICS (2022)

Article Computer Science, Information Systems

A Configurable Accelerator for Keyword Spotting Based on Small-Footprint Temporal Efficient Neural Network

Keyan He et al.

Summary: This paper proposes a small-footprint model based on TENet and simplified MFCC algorithm, achieving high accuracy through batch-norm folding and int8 quantization. Furthermore, an optimized dataflow and configurable hardware architecture are proposed using hardware/model co-design approach.

ELECTRONICS (2022)

Proceedings Paper Computer Science, Hardware & Architecture

Noise-Aware and Lightweight LSTM for Keyword Spotting Applications

Yingfeng Wang et al.

Summary: This paper proposes a noise-robust, lightweight, and accurate keyword spotting system. By training and optimizing a neural network model from scratch, high accuracy keyword spotting is achieved in various noisy environments.

2022 19TH INTERNATIONAL SOC DESIGN CONFERENCE (ISOCC) (2022)

Article Engineering, Electrical & Electronic

A 510-nW Wake-Up Keyword-Spotting Chip Using Serial-FFT-Based MFCC and Binarized Depthwise Separable CNN in 28-nm CMOS

Weiwei Shan et al.

Summary: The proposed sub-mu W always-ON keyword spotting chip for audio wake-up systems utilizes various techniques to achieve ultra-low power consumption, enabling high accuracy in voice wake-up tasks.

IEEE JOURNAL OF SOLID-STATE CIRCUITS (2021)

Article Engineering, Electrical & Electronic

Comparing Loihi with a SpiNNaker 2 prototype on low-latency keyword spotting and adaptive robotic control

Yexin Yan et al.

Summary: The study implemented two benchmark tasks on SpiNNaker 2 and Loihi neuromorphic chips, and found that the application of MAC array on SpiNNaker 2 showed better efficiency in handling high-dimensional vector-matrix multiplication.

NEUROMORPHIC COMPUTING AND ENGINEERING (2021)

Article Computer Science, Hardware & Architecture

An Energy-Efficient Deep Convolutional Neural Network Inference Processor With Enhanced Output Stationary Dataflow in 65-nm CMOS

Jaehyeong Sim et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2020)

Proceedings Paper Acoustics

EVENT-DRIVEN PIPELINE FOR LOW-LATENCY LOW-COMPUTE KEYWORD SPOTTING AND SPEAKER VERIFICATION SYSTEM

Enea Ceolini et al.

2019 IEEE INTERNATIONAL CONFERENCE ON ACOUSTICS, SPEECH AND SIGNAL PROCESSING (ICASSP) (2019)