4.5 Article

Effect of atomic layer annealing in plasma-enhanced atomic layer deposition of aluminum nitride on silicon

Related references

Note: Only part of the references are listed.
Article Biochemistry & Molecular Biology

Enhanced Resistive Switching and Synaptic Characteristics of ALD Deposited AlN-Based RRAM by Positive Soft Breakdown Process

Seyeong Yang et al.

Summary: Nitride film has been playing an important role as a diffusion barrier in the semiconductor field and has attracted researchers' attention as a new storage medium in next-generation memories. In this study, a Pt/AlN/TaN device was investigated for resistive random-access memory (RRAM) application. The resistive switching properties of the AlN thin film formed by atomic layer deposition (ALD) were examined. The unique switching feature under positive voltage and typical bipolar switching under negative voltage were observed. Good retention, DC, and pulse endurances were achieved, and the electronic behaviors were analyzed through X-ray photoelectron spectroscopy (XPS) and the current-voltage (I-V) linear fitting model.

INTERNATIONAL JOURNAL OF MOLECULAR SCIENCES (2022)

Article Materials Science, Multidisciplinary

Experimental and theoretical determination of the role of ions in atomic layer annealing

Scott T. Ueda et al.

Summary: In this study, the role of ion energy and mass in atomic layer annealing was investigated using independent experimental control and molecular dynamics simulations. It was found that ions are able to displace surface atoms and induce a short-lived local heating phenomenon in the first few atomic layers, leading to enhanced crystallinity.

JOURNAL OF MATERIALS CHEMISTRY C (2022)

Article Physics, Applied

Emerging GaN technologies for power, RF, digital, and quantum computing applications: Recent advances and prospects

Koon Hoo Teo et al.

Summary: GaN technology is expanding rapidly in various application areas, including digital and quantum computing electronics. Developing new GaN devices for higher-voltage and ultra-low-voltage power applications is crucial. GaN CMOS technology is expected to play a key role in realizing a full GaN platform.

JOURNAL OF APPLIED PHYSICS (2021)

Article Engineering, Electrical & Electronic

Characterization of AlScN-Based Multilayer Systems for Piezoelectric Micromachined Ultrasound Transducer (pMUT) Fabrication

Kristina Bespalova et al.

Summary: AlScN is a potential material for micro-electromechanical systems due to its unique advantages such as strong piezoelectric effect and high thermal stability. Studies have shown that structures with Mo TE layer exhibit the best stability and reliability under different annealing conditions, without forming any new phases at the interface.

JOURNAL OF MICROELECTROMECHANICAL SYSTEMS (2021)

Article Materials Science, Coatings & Films

Atomic layer deposition of AlN using atomic layer annealing-Towards high-quality AlN on vertical sidewalls

Elmeri Osterlund et al.

Summary: This study investigates the deposition of AlN thin films on vertical sidewalls using atomic layer deposition and in situ atomic layer annealing. The research focuses on improving the crystal quality and conformal coverage of AlN for effective piezoelectric actuation and sensing in MEMS sensors. The results show that AlN has the best crystal quality and orientation when deposited on Al, but contains oxygen impurities that may impact its piezoelectric properties. High-temperature annealing reduces impurities but does not improve crystal quality.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2021)

Article Chemistry, Physical

Tris(dimethylamido)aluminum(III) and N2H4: Ideal precursors for the low-temperature deposition of large grain, oriented c-axis AlN on Si via atomic layer annealing

Scott T. Ueda et al.

Summary: Low-temperature deposition of polycrystalline AlN films on silicon using atomic layer annealing with TDMAA and N2H4 has been demonstrated successfully. The high-quality AlN films deposited can serve as templates for further high-speed AlN film growth.

APPLIED SURFACE SCIENCE (2021)

Article Chemistry, Multidisciplinary

AlN PEALD with TMA and forming gas: study of plasma reaction mechanisms

Mengmeng Miao et al.

Summary: This study investigates the effect of deposition temperature and plasma dose on plasma-enhanced atomic layer deposition (PEALD) of AlN thin films. It was found that temperature strongly affects TMA absorption, while plasma has multiple effects on AlN film growth including influencing TMA absorption, reacting with surface species, and removing organic ligands. The research also established a three-effect plasma model consistent with experimental results.

RSC ADVANCES (2021)

Article Chemistry, Physical

Sub-nanometer heating depth of atomic layer annealing

Wei-Hao Lee et al.

APPLIED SURFACE SCIENCE (2020)

Article Physics, Applied

GaN power devices: current status and future challenges

Tetsuzo Ueda

JAPANESE JOURNAL OF APPLIED PHYSICS (2019)

Article Chemistry, Multidisciplinary

Nanoscale GaN Epilayer Grown by Atomic Layer Annealing and Epitaxy at Low Temperature

Wei-Hao Lee et al.

ACS SUSTAINABLE CHEMISTRY & ENGINEERING (2019)

Article Chemistry, Physical

Electromechanical losses in carbon- and oxygen-containing bulk AlN single crystals

Iurii Kogut et al.

SOLID STATE IONICS (2019)

Article Materials Science, Coatings & Films

Atomic layer deposition of AlN from AlCl3 using NH3 and Ar/NH3 plasma

Ville Rontu et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2018)

Review Chemistry, Physical

AlN piezoelectric thin films for energy harvesting and acoustic devices

Chunlong Fei et al.

NANO ENERGY (2018)

Article Materials Science, Coatings & Films

Comparison of mechanical properties and composition of magnetron sputter and plasma enhanced atomic layer deposition aluminum nitride films

Perttu Sippola et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2018)

Article Engineering, Electrical & Electronic

MOVPE growth of GaN on 6-inch SOI-substrates: effect of substrate parameters on layer quality and strain

J. Lemettinen et al.

SEMICONDUCTOR SCIENCE AND TECHNOLOGY (2017)

Article Chemistry, Multidisciplinary

High-Speed and Low-Energy Nitride Memristors

Byung Joon Choi et al.

ADVANCED FUNCTIONAL MATERIALS (2016)

Article Materials Science, Multidisciplinary

Suppression of interfacial layer in high-K gate stack with crystalline high-K dielectric and AlN buffer layer structure

Wei-Cheng Wang et al.

MATERIALS CHEMISTRY AND PHYSICS (2016)

Article Chemistry, Physical

Role of oxygen contaminant on the physical properties of sputtered AlN thin films

M. A. Signore et al.

JOURNAL OF ALLOYS AND COMPOUNDS (2015)

Article Crystallography

AlGaN-based deep-ultraviolet light-emitting diodes grown on High-quality AIN template using MOVPE

Jianchang Yan et al.

JOURNAL OF CRYSTAL GROWTH (2015)

Article Chemistry, Physical

XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition

P. Motamedi et al.

APPLIED SURFACE SCIENCE (2014)

Article Materials Science, Coatings & Films

Substrate-biasing during plasma-assisted atomic layer deposition to tailor metal-oxide thin film growth

H. B. Profijt et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2013)

Article Engineering, Electrical & Electronic

An AlN MEMS Piezoelectric Microphone for Aeroacoustic Applications

Matthew D. Williams et al.

JOURNAL OF MICROELECTROMECHANICAL SYSTEMS (2012)

Article Chemistry, Physical

Properties of AlN grown by plasma enhanced atomic layer deposition

Markus Bosund et al.

APPLIED SURFACE SCIENCE (2011)

Article Materials Science, Multidisciplinary

222-282 nm AlGaN and InAlGaN-based deep-UV LEDs fabricated on high-quality AlN on sapphire

Hideki Hirayama et al.

PHYSICA STATUS SOLIDI A-APPLICATIONS AND MATERIALS SCIENCE (2009)

Article Engineering, Electrical & Electronic

GaN-Based RF power devices and amplifiers

Umesh K. Mishra et al.

PROCEEDINGS OF THE IEEE (2008)

Article Materials Science, Ceramics

Optical characterization of sputtered amorphous aluminum nitride thin films by spectroscopic ellipsometry

JM Khoshman et al.

JOURNAL OF NON-CRYSTALLINE SOLIDS (2005)

Article Materials Science, Coatings & Films

Growth of AIN films on Si(100) and Si(111) substrates by reactive magnetron sputtering

JX Zhang et al.

SURFACE & COATINGS TECHNOLOGY (2005)

Article Materials Science, Multidisciplinary

Influence of oxygen and argon on the crystal quality and piezoelectric response of AlN sputtered thin films

L Vergara et al.

DIAMOND AND RELATED MATERIALS (2004)

Article Chemistry, Physical

Rietveld-refinement study of aluminium and gallium nitrides

W Paszkowicz et al.

JOURNAL OF ALLOYS AND COMPOUNDS (2004)

Article Materials Science, Coatings & Films

Growth of highly c-axis textured AIN films on Mo electrodes for film bulk acoustic wave resonators

SH Lee et al.

JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A (2003)

Article Engineering, Electrical & Electronic

AlGaN/AlN/GaN high-power microwave HEMT

L Shen et al.

IEEE ELECTRON DEVICE LETTERS (2001)