4.6 Article

Polishing mechanisms of various surfactants in chemical mechanical polishing relevant to cobalt interconnects

Related references

Note: Only part of the references are listed.
Review Automation & Control Systems

Nanofluids application in machining: a comprehensive review

Xiaoming Wang et al.

Summary: Nanofluids are efficient heat transfer media widely used in various fields. However, the existing reviews cannot serve as a technical manual for industrial applications due to the lack of clear physicochemical mechanism and technical difficulties in the production system. This paper provides a comprehensive review of nanofluid machining, including preparation, properties, performance, and equipment, as well as discussing the future prospects.

INTERNATIONAL JOURNAL OF ADVANCED MANUFACTURING TECHNOLOGY (2023)

Article Chemistry, Physical

Comparison of anionic surfactants dodecylbenzene sulfonic acid and 1,2,4-triazole for inhibition of Co corrosion and study of the mechanism for passivation of the Co surface by dodecylbenzene sulfonic acid

Yuanshen Cheng et al.

Summary: In this paper, the corrosion inhibition performance of dodecylbenzene sulfonic acid (DBSA) as a low-cost and easily degradable anionic surfactant inhibitor for Co was compared with the commonly used inhibitor 1,2,4-triazole (TAZ). The results showed that DBSA exhibited higher corrosion inhibition performance at the same concentration. This was attributed to the higher activity of DBSA molecules, which facilitated their adsorption on the Co surface and formation of a denser film.

JOURNAL OF MOLECULAR LIQUIDS (2022)

Article Chemistry, Physical

Nicotinic acid as a novel inhibitor for alkaline cobalt CMP: Experiment and molecular simulation

Boao Ma et al.

Summary: This study investigated the corrosion inhibition performance of the green inhibitor nicotinic acid (NA) on cobalt film in an alkaline medium. The results showed that NA effectively inhibited the corrosion of cobalt and demonstrated potential as a cobalt CMP inhibitor.

COLLOIDS AND SURFACES A-PHYSICOCHEMICAL AND ENGINEERING ASPECTS (2022)

Article Physics, Multidisciplinary

Mechanism of titanium-nitride chemical mechanical polishing*

Dao-Huan Feng et al.

Summary: A new acidic slurry containing sodium hypochlorite was developed for the CMP of TiN film, achieving high material removal rate and selectivity. Analysis using XPS and potentiodynamic polarization measurement helped to understand the cyclic reaction polishing mechanism of TiN CMP process.

CHINESE PHYSICS B (2021)

Article Chemistry, Physical

Experimental validation and molecular dynamics simulation of removal of PO residue on Co surface by alkaline cleaning solution with different functional groups

Xiaoqin Sun et al.

Summary: In this study, TMAH and three complexing agents were used to remove the organic residue on cobalt surface, and the cleaning effect was characterized by contact angle, electrochemistry, AFM, and XPS. The cleaning mechanism was further revealed by molecular dynamics simulation based on DFT.

COLLOIDS AND SURFACES A-PHYSICOCHEMICAL AND ENGINEERING ASPECTS (2021)

Article Materials Science, Multidisciplinary

Ammonium Persulfate and Potassium Oleate Containing Silica Dispersions for Chemical Mechanical Polishing for Cobalt Interconnect Applications

C. K. Ranaweera et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2018)

Article Materials Science, Multidisciplinary

Formation of Cobalt-BTA Complexes and Their Removal from Various Surfaces Relevant to Cobalt Interconnect Applications

Jihoon Seo et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2018)

Article Physics, Condensed Matter

Effect of 1,2,4-triazole on galvanic corrosion between cobalt and copper in CMP based alkaline slurry

Lei Fu et al.

JOURNAL OF SEMICONDUCTORS (2018)

Article Materials Science, Multidisciplinary

Citric Acid as a Complexing Agent in Chemical Mechanical Polishing Slurries for Cobalt Films for Interconnect Applications

R. Popuri et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2017)

Article Materials Science, Multidisciplinary

Role of Additive in Alkaline Slurries for Co CMP

Jun Ji et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2017)

Article Materials Science, Multidisciplinary

Chemical Mechanical Polishing of Chemical Vapor Deposited Co Films with Minimal Corrosion in the Cu/Co/Mn/SiCOH Patterned Structures

K. V. Sagi et al.

ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY (2017)

Proceedings Paper Electrochemistry

Cobalt CMP Development for 7nm Logic Device

C. Wu et al.

SILICON COMPATIBLE MATERIALS, PROCESSES, AND TECHNOLOGIES FOR ADVANCED INTEGRATED CIRCUITS AND EMERGING APPLICATIONS 7 (2017)

Article Engineering, Electrical & Electronic

Synergetic effect of H2O2 and glycine on cobalt CMP in weakly alkaline slurry

Liang Jiang et al.

MICROELECTRONIC ENGINEERING (2014)

Article Electrochemistry

The Effect of H2O2 and 2-MT on the Chemical Mechanical Polishing of Cobalt Adhesion Layer in Acid Slurry

Hai-Sheng Lu et al.

ELECTROCHEMICAL AND SOLID STATE LETTERS (2012)

Article Physics, Applied

Tungsten Contact and Line Resistance Reduction with Advanced Pulsed Nucleation Layer and Low Resistivity Tungsten Treatment

Anand Chandrashekar et al.

JAPANESE JOURNAL OF APPLIED PHYSICS (2010)

Article Materials Science, Multidisciplinary

Size-Dependent Resistivity in Nanoscale Interconnects

Daniel Josell et al.

Annual Review of Materials Research (2009)

Article Nanoscience & Nanotechnology

Recent Patents on Cu/low-k Dielectrics Interconnects in Integrated Circuits

Qing Jiang et al.

RECENT PATENTS ON NANOTECHNOLOGY (2007)

Article Physics, Applied

Nucleation of W during chemical vapor deposition from WF6 and SiH4

Y Kajikawa et al.

JAPANESE JOURNAL OF APPLIED PHYSICS PART 1-REGULAR PAPERS BRIEF COMMUNICATIONS & REVIEW PAPERS (2004)

Article Electrochemistry

Chemical mechanical planarization of copper damascene structures

P Wrschka et al.

JOURNAL OF THE ELECTROCHEMICAL SOCIETY (2000)