4.5 Article

Data-Driven Feature Selection Framework for Approximate Circuit Design

Related references

Note: Only part of the references are listed.
Article Computer Science, Hardware & Architecture

VECBEE: A Versatile Efficiency-Accuracy Configurable Batch Error Estimation Method for Greedy Approximate Logic Synthesis

Sanbao Su et al.

Summary: Approximate computing is a new strategy to improve the energy efficiency of many error-tolerant applications. To enhance the synthesis quality of greedy ALS methods, it is crucial to accurately calculate the errors of all candidate approximate transformations. VECBEE is a versatile efficiency-accuracy configurable batch error estimation method for greedy ALS, offering a flexible trade-off between error estimation accuracy and runtime.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2022)

Proceedings Paper Computer Science, Artificial Intelligence

SEALS: Sensitivity-driven Efficient Approximate Logic Synthesis

Chang Meng et al.

Summary: This paper proposes a sensitivity-driven efficient ALS method (SEALS) to speed up the greedy ALS flow for approximating circuits. SEALS introduces the concept of sensitivity to enable fast and accurate error estimation, as well as an efficient method for filtering out unpromising local approximate changes (LACs). Experimental results show that SEALS outperforms a state-of-the-art ALS method in runtime by 12x to 15x without reducing circuit quality.

PROCEEDINGS OF THE 59TH ACM/IEEE DESIGN AUTOMATION CONFERENCE, DAC 2022 (2022)

Proceedings Paper Engineering, Electrical & Electronic

MinAC: Minimal-Area Approximate Compressor Design Based on Exact Synthesis for Approximate Multipliers

Xuan Wang et al.

Summary: This paper proposes an exact synthesis-based method MinAC to automatically generate minimal-area approximate 4-2 compressors. Experimental results show that MinAC outperforms existing methods in terms of area-delay-product, power-delay-product, and mean error distance.

2022 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS 22) (2022)

Proceedings Paper Engineering, Electrical & Electronic

Quantified Satisfiability-based Simultaneous Selection of Multiple Local Approximate Changes under Maximum Error Bound

Chenfei Lou et al.

Summary: This work proposes a method for simultaneously selecting multiple local approximate changes in approximate logic synthesis to reduce circuit area. Experimental results show that this method can significantly reduce circuit area under a given error bound.

2022 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS 22) (2022)

Proceedings Paper Computer Science, Hardware & Architecture

Approximate Computing for ML: State-of-the-art, Challenges and Visions

Georgios Zervakis et al.

Summary: This paper presents state-of-the-art approximate computing techniques, covering static and reconfigurable approaches, operation-specific components, and generalized high-level synthesis methods. The focus is on the impact of these techniques on machine learning and neural networks, with evaluations not only on performance and energy gains but also on improvements in operating temperature.

2021 26TH ASIA AND SOUTH PACIFIC DESIGN AUTOMATION CONFERENCE (ASP-DAC) (2021)

Article Computer Science, Hardware & Architecture

A Novel Heuristic Search Method for Two-Level Approximate Logic Synthesis

Sanbao Su et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2020)

Article Computer Science, Hardware & Architecture

ALFANS: Multilevel Approximate Logic Synthesis Framework by Approximate Node Simplification

Yi Wu et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2020)

Article Computer Science, Hardware & Architecture

A high-accuracy approximate adder with correct sign calculation

Junjun Hu et al.

INTEGRATION-THE VLSI JOURNAL (2019)

Article Computer Science, Hardware & Architecture

An Efficient Method for Calculating the Error Statistics of Block-Based Approximate Adders

Yi Wu et al.

IEEE TRANSACTIONS ON COMPUTERS (2019)

Article Computer Science, Hardware & Architecture

High-Level Synthesis of Approximate Designs under Real-Time Constraints

Marcos T. Leipnitz et al.

ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS (2019)

Proceedings Paper Computer Science, Hardware & Architecture

Towards Data-Driven Approximate Circuit Design

Ling Qiu et al.

2019 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI 2019) (2019)

Article Engineering, Electrical & Electronic

A Low-Error Energy-Efficient Fixed-Width Booth Multiplier With Sign-Digit-Based Conditional Probability Estimation

Ziji Zhang et al.

IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II-EXPRESS BRIEFS (2018)

Proceedings Paper Engineering, Electrical & Electronic

A Systematic Method for Approximate Circuit Design Using Feature Selection

Ling Qiu et al.

2018 IEEE INTERNATIONAL SYMPOSIUM ON CIRCUITS AND SYSTEMS (ISCAS) (2018)

Article Computer Science, Hardware & Architecture

AxBench: A Multiplatform Benchmark Suite for Approximate Computing

Amir Yazdanbakhsh et al.

IEEE DESIGN & TEST (2017)

Article Computer Science, Hardware & Architecture

Design and Analysis of Inexact Floating-Point Adders

Weiqiang Liu et al.

IEEE TRANSACTIONS ON COMPUTERS (2016)

Article Engineering, Electrical & Electronic

Embedded Algorithmic Noise-Tolerance for Signal Processing and Machine Learning Systems via Data Path Decomposition

Sai Zhang et al.

IEEE TRANSACTIONS ON SIGNAL PROCESSING (2016)

Article Computer Science, Hardware & Architecture

Approximate Computing: A Survey

Qiang Xu et al.

IEEE DESIGN & TEST (2016)

Proceedings Paper Computer Science, Hardware & Architecture

A General Sign Bit Error Correction Scheme for Approximate Adders

Rui Zhou et al.

2016 INTERNATIONAL GREAT LAKES SYMPOSIUM ON VLSI (GLSVLSI) (2016)

Review Computer Science, Artificial Intelligence

Learning from imbalanced data: open challenges and future directions

Bartosz Krawczyk

PROGRESS IN ARTIFICIAL INTELLIGENCE (2016)

Proceedings Paper Computer Science, Hardware & Architecture

An Efficient Method for Multi-level Approximate Logic Synthesis under Error Rate Constraint

Yi Wu et al.

2016 ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC) (2016)

Proceedings Paper Engineering, Electrical & Electronic

Joint Precision Optimization and High Level Synthesis for Approximate Computing

Chaofan Li et al.

2015 52ND ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC) (2015)

Article Computer Science, Hardware & Architecture

Synthesizing Parsimonious Inexact Circuits through Probabilistic Design Techniques

Avinash Lingamneni et al.

ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS (2013)

Article Computer Science, Hardware & Architecture

Computation Error Analysis in Digital Signal Processing Systems With Overscaled Supply Voltage

Yang Liu et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2010)

Article Computer Science, Hardware & Architecture

Design of low-error fixed-width modified booth multiplier

KJ Cho et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2004)

Article Computer Science, Hardware & Architecture

Soft digital signal processing

R Hegde et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2001)