4.5 Article

APPcache+: An STT-MRAM-Based Approximate Cache System With Low Power and Long Lifetime

Related references

Note: Only part of the references are listed.
Article Computer Science, Hardware & Architecture

A Low-Latency and High-Endurance MLC STT-MRAM-Based Cache System

Wei Zhao et al.

Summary: Enhanced one-step write (EOSwrite) is a new encoding technique for MLC STT-MRAM, which reduces write energy and latency by writing data in one step to the soft domain. It improves system performance with low area overhead.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2023)

Article Computer Science, Information Systems

A Technique for Approximate Communication in Network-on-Chips for Image Classification

Yuechen Chen et al.

Summary: In this paper, we propose an approximate communication technique (ACT) for image classification applications, which leverages the error-tolerance of the classification process to reduce power consumption and latency of on-chip communications. The proposed technique incorporates quality control and data approximation mechanisms to reduce packet size, achieving a reduction in network latency of 23% and dynamic power of 24% compared to existing approaches, with less than 0.99% classification accuracy loss.

IEEE TRANSACTIONS ON EMERGING TOPICS IN COMPUTING (2023)

Article Computer Science, Hardware & Architecture

Approximate MRAM: High-Performance and Power-Efficient Computing With MRAM Chips for Error-Tolerant Applications

Farah Ferdaus et al.

Summary: Approximate computing is widely used in big-data applications to improve performance and save power. This paper proposes a systematic methodology to construct an approximate MRAM framework using COTS MRAM chips. The experimental results show that the proposed framework provides a significant performance improvement and reduces MRAM write energy by approximately 47.5% on average with negligible or no loss in output quality.

IEEE TRANSACTIONS ON COMPUTERS (2023)

Article Computer Science, Theory & Methods

FlitZip: Effective Packet Compression for NoC in MultiProcessor System-on-Chip

Dipika Deb et al.

Summary: This article introduces a method called FlitZip, which reduces on-chip traffic through compressing network packets, thereby reducing the power consumption of the network and improving system performance. Experimental results show that FlitZip achieves a significant improvement in compression ratio, reducing packet latency and bandwidth utilization.

IEEE TRANSACTIONS ON PARALLEL AND DISTRIBUTED SYSTEMS (2022)

Article Computer Science, Hardware & Architecture

SWEL-COFAE : Wear Leveling and Adaptive Encoding Assisted Compression of Frequent Words in Non-Volatile Main Memories

Arijit Nath et al.

Summary: This paper proposes a word-level compression scheme called COMF to reduce bitflips in PCM and enhance system performance. The COMF scheme is combined with an adaptive granularity-based encoding technique (COFAE) and a stride-based wear leveling technique (SWEL-COFAE) to further reduce bitflips and improve lifetime. Experimental results show significant improvements in lifetime and reductions in bitflips and energy consumption.

IEEE TRANSACTIONS ON COMPUTERS (2022)

Article Computer Science, Hardware & Architecture

Approximate Memory Compression

Ashish Ranjan et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2020)

Article Computer Science, Hardware & Architecture

Approximate Cache in GPGPUs

Ehsan Atoofian

ACM TRANSACTIONS ON EMBEDDED COMPUTING SYSTEMS (2020)

Proceedings Paper Computer Science, Software Engineering

Reducing Bit Writes in Non-volatile Main Memory by Similarity-aware Compression

Zhangyu Chen et al.

PROCEEDINGS OF THE 2020 57TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC) (2020)

Proceedings Paper Computer Science, Hardware & Architecture

JPEG-ACT: Accelerating Deep Learning via Transform-based Lossy Compression

R. David Evans et al.

2020 ACM/IEEE 47TH ANNUAL INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE (ISCA 2020) (2020)

Article Computer Science, Hardware & Architecture

Segmented Tag Cache: A Novel Cache Organization for Reducing Dynamic Read Energy

Moonsoo Kim et al.

IEEE TRANSACTIONS ON COMPUTERS (2019)

Proceedings Paper Computer Science, Software Engineering

Adaptive Granularity Encoding for Energy-efficient Non-Volatile Main Memory

Jie Xu et al.

PROCEEDINGS OF THE 2019 56TH ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC) (2019)

Proceedings Paper Computer Science, Theory & Methods

AxBA: An Approximate Bus Architecture Framework

Jacob R. Stevens et al.

2018 IEEE/ACM INTERNATIONAL CONFERENCE ON COMPUTER-AIDED DESIGN (ICCAD) DIGEST OF TECHNICAL PAPERS (2018)

Article Computer Science, Hardware & Architecture

AxBench: A Multiplatform Benchmark Suite for Approximate Computing

Amir Yazdanbakhsh et al.

IEEE DESIGN & TEST (2017)

Proceedings Paper Computer Science, Software Engineering

Approximate Storage of Compressed and Encrypted Videos

Djordje Jevdjic et al.

OPERATING SYSTEMS REVIEW (2017)

Proceedings Paper Computer Science, Hardware & Architecture

Approximate Storage of Compressed and Encrypted Videos

Djordje Jevdjic et al.

TWENTY-SECOND INTERNATIONAL CONFERENCE ON ARCHITECTURAL SUPPORT FOR PROGRAMMING LANGUAGES AND OPERATING SYSTEMS (ASPLOS XXII) (2017)

Article Computer Science, Software Engineering

High-Density Image Storage Using Approximate Memory Cells

Qing Guo et al.

ACM SIGPLAN NOTICES (2016)

Proceedings Paper Engineering, Electrical & Electronic

Approximate Storage for Energy Efficient Spintronic Memories

Ashish Ranjan et al.

2015 52ND ACM/EDAC/IEEE DESIGN AUTOMATION CONFERENCE (DAC) (2015)

Proceedings Paper Computer Science, Hardware & Architecture

LastingNVCache: A Technique for Improving the Lifetime of Non-volatile Caches

Sparsh Mittal et al.

2014 IEEE COMPUTER SOCIETY ANNUAL SYMPOSIUM ON VLSI (ISVLSI) (2014)

Article Computer Science, Hardware & Architecture

NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory

Xiangyu Dong et al.

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS (2012)