4.7 Article

3D SRAM Macro Design in 3D Nanofabric Process Technology

Related references

Note: Only part of the references are listed.
Article Engineering, Electrical & Electronic

A Comprehensive Study of Nanosheet and Forksheet SRAM for Beyond N5 Node

Mohit Kumar Gupta et al.

Summary: To continue with technology scaling, it is necessary to reduce the SRAM bitcell area, lower parasitic resistance, and increase drive strength. NSH technology improves SRAM write-ability compared to FF SRAM, but due to larger cell area, NSH SRAM cannot compete with FF SRAM in terms of read delay and energy efficiency at 3nm technology node.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2021)

Proceedings Paper Engineering, Electrical & Electronic

3D-Split SRAM: Enabling Generational Gains in Advanced CMOS

R. Mathur et al.

Summary: 3D integration technologies are becoming increasingly viable to overcome the limitations and slowdown of traditional 2D transistor scaling. 3D-Split SRAMs, with split BL and WL, promise improved power/performance by reducing RC parasitics. Proposed approaches to reduce 3D-BEOL parasitics show performance gains similar to dimensional scaling of one technology node.

2021 IEEE CUSTOM INTEGRATED CIRCUITS CONFERENCE (CICC) (2021)

Article Computer Science, Information Systems

Quantifying the Impact of Monolithic 3D (M3D) Integration on L1 Caches

Young-Ho Gong et al.

Summary: Monolithic 3D integration (M3D) offers nano-scale monolithic inter-tier vias (MIVs) which are much smaller than through-silicon-vias (TSVs), enabling better performance and energy-efficiency for small micro architectural blocks like L1 caches.

IEEE TRANSACTIONS ON EMERGING TOPICS IN COMPUTING (2021)

Article Computer Science, Information Systems

Monolithic 3D-Based SRAM/MRAM Hybrid Memory for an Energy-Efficient Unified L2 TLB-Cache Architecture

Young-Ho Gong

Summary: Monolithic 3D integration is a promising technology for fine-grained 3D stacking, but it may cause performance degradation due to the low-temperature process required. Utilizing an energy-efficient unified L2 TLB-cache architecture exploiting M3D-based SRAM/MRAM hybrid memory can significantly improve energy efficiency.

IEEE ACCESS (2021)

Proceedings Paper Engineering, Electrical & Electronic

Layout Considerations of Logic Designs Using an N-layer 3D Nanofabric Process Flow

Edouard Giacomin et al.

2020 IFIP/IEEE 28TH INTERNATIONAL CONFERENCE ON VERY LARGE SCALE INTEGRATION (VLSI-SOC) (2020)

Article Engineering, Electrical & Electronic

Thermal Stress-Aware CMOS-SRAM Partitioning in Sequential 3-D Technology

Shairfe Muhammad Salahuddin et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2020)

Article Engineering, Electrical & Electronic

SRAM With Buried Power Distribution to Improve Write Margin and Performance in Advanced Technology Nodes

Shairfe M. Salahuddin et al.

IEEE ELECTRON DEVICE LETTERS (2019)

Proceedings Paper Computer Science, Hardware & Architecture

Designing Vertical Processors in Monolithic 3D

Bhargava Gopireddy et al.

PROCEEDINGS OF THE 2019 46TH INTERNATIONAL SYMPOSIUM ON COMPUTER ARCHITECTURE (ISCA '19) (2019)

Article Engineering, Electrical & Electronic

Integration scheme and 3D RC extractions of three-level supervia at 16 nm half-pitch

Anshul Gupta et al.

MICROELECTRONIC ENGINEERING (2018)

Article Engineering, Electrical & Electronic

Device Exploration of NanoSheet Transistors for Sub-7-nm Technology Node

Doyoung Jang et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2017)

Article Engineering, Electrical & Electronic

Modeling of Via Resistance for Advanced Technology Nodes

Ivan Ciofi et al.

IEEE TRANSACTIONS ON ELECTRON DEVICES (2017)

Article Computer Science, Interdisciplinary Applications

The End of Moore's Law: A New Beginning for Information Technology

Thomas N. Theis et al.

COMPUTING IN SCIENCE & ENGINEERING (2017)

Article Computer Science, Hardware & Architecture

Comparative Study of Various Latch-Type Sense Amplifiers

Taehui Na et al.

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS (2014)

Article Computer Science, Hardware & Architecture

3D-Integrated SRAM Components for High-Performance Microprocessors

Kiran Puttaswamy et al.

IEEE TRANSACTIONS ON COMPUTERS (2009)

Article Engineering, Electrical & Electronic

Device scaling limits of Si MOSFETs and their application dependencies

DJ Frank et al.

PROCEEDINGS OF THE IEEE (2001)